Three dimensional integrated circuits are known in the art, though the field is in its infancy with a dearth of commercial products. Many manufacturers sell multiple standard two dimensional integrated circuit (2DIC) devices in a single package known as a Multi-Chip Modules (MCM) or Multi-Chip Packages (MCP). Often these 2DICs are laid out horizontally in a single layer, like the Core 2 Quad microprocessor MCMs available from Intel Corporation of Santa Clara, Calif. In other products, the standard 2DICs are stacked vertically in the same MCP like in many of the moviNAND flash memory devices available from Samsung Electronics of Seoul, South Korea like the illustration shown in
Devices where multiple layers of silicon or some other semiconductor (where each layer comprises active devices and local interconnect like a standard 2DIC) are bonded together with Through Silicon Via (TSV) technology to form a true 3D IC have been reported in the literature in the form of abstract analysis of such structures as well as devices constructed doing basic research and development in this area.
Constructing future 3DICs will require new architectures and new ways of thinking In particular, yield and reliability of extremely complex three dimensional systems will have to be addressed, particularly given the yield and reliability difficulties encountered in complex Application Specific Integrated Circuits (ASIC) built in recent deep submicron process generations.
Fortunately, current testing techniques will likely prove applicable to 3D IC manufacturing, though they will be applied in very different ways.
In the test architecture of
Another prior art technique that is applicable to the yield and reliability of 3DICs is Triple Modular Redundancy. This is a technique where the circuitry is instantiated in a design in triplicate and the results are compared. Because two or three of the circuit outputs are always assumed in agreement (as is the case assuming single error and binary signals) voting circuitry (or majority-of-three or MAJ3) takes that as the result. While primarily a technique used for noise suppression in high reliability or radiation tolerant systems in military, aerospace and space applications, it also can be used as a way of masking errors in faulty circuits since if any two of three replicated circuits are functional the system will behave as if it is fully functional. A discussion of the radiation tolerant aspects of Triple Modular Redundancy systems, Single Event Effects (SEE), Single Event Upsets (SEU) and Single Event Transients (SET) can be found in U.S. Patent Application Publication 2009/0204933 to Rezgui (“Rezgui”).
In one aspect, a method to construct first and second configurable systems including: providing a first configurable system including a first die and a second die, where the first die is diced from a first wafer and the second die is diced from a second wafer and the first die is connected to the second die using at least one through-silicon-via (TSV); providing a second configurable system including a third die and a fourth die, where the third die is diced from a third wafer and the fourth die is diced from a fourth wafer and the third die is connected to the fourth die using at least one through-silicon-via (TSV); where processing the first wafer and the third wafer utilizes a majority of masks that are substantially same; and where the first die is larger than the third die.
In another aspect, a method to construct first and second configurable systems including: providing a first configurable system including a first die and a second die, where the first die is diced from a first wafer and the second die is diced from a second wafer and the first die is connected to the second die using at least one through-silicon-via (TSV); providing a second configurable system including a third die and a fourth die, where the third die is diced from a third wafer and the fourth die is diced from a fourth wafer and the third die is connected to the fourth die using at least one through-silicon-via (TSV); where the second die and the fourth die are adapted to connect the configurable systems to at least one external device, and the second die is larger than the fourth die, and processing the second wafer and the fourth wafer utilizes a majority of masks that are substantially same.
In another aspect, a method to construct first and second systems including: providing a first system including a first die and a second die, where the first die is diced from a first wafer and the second die is diced from a second wafer and the first die is connected to the second die using at least one through-silicon-via (TSV); providing a second system including a third die and a fourth die, where the third die is diced from a third wafer and the fourth die is diced from a fourth wafer and the third die is connected to the fourth die using at least one through-silicon-via (TSV); where the first die is larger than the third die, and processing the first wafer and the third wafer utilizes a majority of masks that are substantially same.
Embodiments of the present invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the spirit of the appended claims.
Some monolithic 3D approaches and other inventive concepts relevant to this document are described in U.S. Patent Application Publication 2012/0129301 (allowed U.S. patent application Ser. No. 13/273,712) and pending U.S. patent applications Ser. Nos. 13/441,923 and 13/099,010. The contents of the foregoing applications are incorporated herein by reference.
One should recognize that the regular pattern of
Unlike prior art for designing Field Programmable Gate Array (“FPGA”), the current invention suggests constructing the programming transistors and much or all of the programming circuitry at a level above the one where the functional diffusion level circuitry of the FPGA resides, hereafter referred to as an “Attic.”. This provides an advantage in that the technology used for the functional FPGA circuitry has very different characteristics from the circuitry used to program the FPGA. Specifically, the functional circuitry typically needs to be done in an aggressive low-voltage technology to achieve speed, power, and density goals of large scale designs. In contrast, the programming circuitry needs high voltages, does not need to be particularly fast because it operates only in preparation of the actual in-circuit functional operation, and does not need to be particularly dense as it needs only on the order of 2N transistors for N*N programmable AFs. Placing the programming circuitry on a different level from the functional circuitry allows for a better design tradeoff than placing them next to each other. A typical example of the cost of placing both types of circuitry next to each other is the large isolation space between each region because of their different operating voltage. This is avoided in the case of placing programming circuitry not in the base (i.e., functional) silicon but rather in the Attic above the functional circuitry.
It is important to note that because the programming circuitry imposes few design constraints except for high voltage, a variety of technologies such as Thin Film Transistors (“TFT”), Vacuum FET, bipolar transistors, and others, can readily provide such programming function in the Attic.
A possible fabrication method for constructing the programming circuitry in an Attic above the functional circuitry on the base silicon is by bonding a programming circuitry wafer on top of functional circuitry wafer using Through Silicon Vias. Other possibilities include layer transfer using ion implantation (typically but not exclusively hydrogen), spraying and subsequent doping of amorphous silicon, carbon nano-structures, and similar. The key that enables the use of such techniques, that often produce less efficient semiconductor devices in the Attic, is the absence of need for high performance and fast switching from programming transistors. The only major requirement is the ability to withstand relatively high voltages, as compared with the functional circuitry.
Another advantage of AF-based FPGA with programming circuitry in an Attic is a simple path to low-cost volume production. One needs simply to remove the Attic and replace the AF layer with a relatively inexpensive custom via or metal mask.
Another advantage of programming circuitry being above the functional circuitry is the relatively low impact of the vertical connectivity on the density of the functional circuitry. By far, the overwhelming number of programming AFs resides in the programmable interconnect and not in the Logic Blocks. Consequently, the vertical connections from the programmable interconnections need to go upward towards the programming transistors in the Attic and do not need to cross downward towards the functional circuitry diffusion area, where dense connectivity between the routing fabric and the LBs occurs, where it would incur routing congestion and density penalty.
Logic Blocks are constructed to implement programmable logic functions. There are multiple ways of constructing LBs that can be programmed by AFs. Typically LBs will use low metal layers such as metal 1 and 2 to construct its basic functions, with higher metal layers reserved for the programmable routing fabric.
Each logic block needs to be able to drive its outputs onto the programmable routing.
Antifuse-programmable logic elements such as described in
The depiction of the AF-based programmable tile above is just one example, and other variations are possible. For example, nothing limits the LB from being rotated 90 degrees with its inputs and outputs connecting to short vertical wires instead of short horizontal wires, or providing access to multiple long wires 724 in every tile.
On top of layer 806 comes configurable interconnect fabric 807 with a second Antifuse layer. This connectivity is done similarly to the way depicted in
The advantage of this alternative implementation is that two layers of AFs provide increased programmability (and hence flexibility) for FPGA, with the lower AF layer close to the base substrate where LB configuration needs to be done, and the upper AF layer close to the metal layers comprising the configurable interconnect.
U.S. Pat. Nos. 5,374,564 and 6,528,391, describe the process of Layer Transfer whereby a few tens or hundreds nanometer thick layer of mono crystalline silicon from “donor” wafer is transferred on top of a base wafer using oxide-oxide bonding and ion implantation. Such a process, for example, is routinely used in the industry to fabricate the so-called Silicon-on-Insulator (“SOI”) wafers for high performance integrated circuits (“IC”s).
Yet another alternative implementation of the current invention is illustrated in
In contrast to the typical SOI process where the base substrate carries no circuitry, the current invention suggest to use base substrate 814 to provide high voltage programming circuits that will program the lower level low metal layers 804 of AFs. We will use the term “Foundation” to describe this layer of programming devices, in contrast to the “Attic” layer of programming devices placed on top that has been previously described.
The major obstacle to using circuitry in the Foundation is the high temperature potentially needed for Layer Transfer, and the high temperature needed for processing the primary silicon layer 802A. High temperatures in excess of 400° C. that are often needed cause damage to pre-existing copper or aluminum metallization patterns that may have been previously fabricated in Foundation base substrate 814. U.S. Patent Application Publication 2009/0224364 proposes using tungsten-based metallization to complete the wiring of the relatively simple circuitry in the Foundation. Tungsten has very high melting temperature and can withstand the high temperatures that may be needed for both for Layer Transfer and for processing of primary silicon layer 802A. Because the Foundation provides mostly the programming circuitry for AFs in low metal layers 804, its lithography can be less advanced and less expensive than that of the primary silicon layer 802A and facilitates fabrication of high voltage devices needed to program AFs. Further, the thinness and hence the transparency of the SOI layer facilitates precise alignment of patterning of primary silicon layer 802A to the underlying patterning of base substrate 814.
Having two layers of AF-programming devices, Foundation on the bottom and Attic on the top, is an effective way to architect AF-based FPGAs with two layers of AFs. The first AF layer low metal layers 804 is close to the primary silicon base substrate 802 that it configures, and its connections to it and to the Foundation programming devices in base substrate 814 are directed downwards. The second layer of AFs in configurable interconnect fabric 807 has its programming connections directed upward towards Attic TFT layer 810. This way the AF connections to its programming circuitry minimize routing congestion across layers 802, 804, 806, and 807.
In general, logic devices need varying amounts of logic, memory, and I/O. The continuous array (“CA”) of U.S. Pat. No. 7,105,871 allows flexible definition of the logic device size, yet for any size the ratio between the three components remained fixed, barring minor boundary effect variations. Further, there exist other types of specialized logic that are difficult to implement effectively using standard logic such as DRAM, Flash memory, DSP blocks, processors, analog functions, or specialized I/O functions such as SerDes. The continuous array of prior art does not provide effective solution for these specialized yet not common enough functions that would justify their regular insertion into CA wafer.
Embodiments of the current invention enable a different and more flexible approach. Additionally the prior art proposal for continuous array were primarily oriented toward Gate Array and Structured ASIC where the customization includes some custom masks. In contrast, the current invention proposes an approach which could fit well FPGA type products including options without any custom masks. Instead of adding a broad variety of such blocks into the CA which would make it generally area-inefficient, and instead of using a range of CA types with different block mixes which would require large number of expensive mask sets, the current invention allows using Through Silicon Via to enable a new type of configurable system. Modern integrated circuits mask sets may include more than 20 masks and typically may include more than 40 masks. In general any metal interconnect layer is associated with two masks so a device that has eight metal layers would comprise 16 masks just for these interconnection layers.
The technology of “Package of integrated circuits and vertical integration” has been described in U.S. Pat. No. 6,322,903 issued to Oleg Siniaguine and Sergey Savastiouk on Nov. 27, 2001. Accordingly, embodiment of the current invention suggests the use of CA tiles, each made of one type, or of very few types, of elements. The target system is then constructed using desired number of tiles of desired type stacked on top of each other and connected with TSVs comprising 3D Configurable System.
In some types of CA wafers it may be advantageous to have metal lines crossing perpendicularly the potential dicing lines, which will allow connectivity between individual tiles. This requires cutting some such lines during wafer dicing. Alternate embodiment may not have metal lines crossing the potential dicing lines and in such case connectivity across uncut dicing lines can be obtained using dedicated mask and custom metal layers accordingly to provide connections between tiles for the desired die sizes.
It should be noted that in general the lithography over the wafer is done by repeatedly projecting what is named reticle over the wafer in a “step-and-repeat” manner. In some cases it might be preferable to consider differently the separation between repeating tile 102 within a reticle image vs. tiles that relate to two projections. For simplicity this description will use the term wafer but in some cases it will apply only to tiles within one reticle.
Person skilled in the art will appreciate that a major benefit of the approaches illustrated by
M—Maximum number of TSVs available for a given IC
MC—Number of nets (connections) between two partitions
S(n)—Timing slack of net n
N(n)—The fanout of net n
K1, K2—constants determined by the user
min-cut—a known algorithm to split a graph into two partitions each of about equal number of nodes with minimal number of arcs between the partitions.
The key idea behind the flow is to focus first on large-fanout low-slack nets that can take the best advantage of the added three-dimensional proximity. K1 is selected to limit the number of nets processed by the algorithm, while K2 is selected to remove very high fanout nets, such as clocks, from being processed by it, as such nets are limited in number and may be best handled manually. Choice of K1 and K2 should yield MC close to M.
A partition is constructed using min-cut or similar algorithm. Timing slack is calculated for all nets using timing analysis tool. Targeted high fanout nets are selected and ordered in increasing amount of timing slack. The algorithm takes those nets one by one and splits them about evenly across the partitions, readjusting the rest of the partition as needed.
Person skilled in the art will appreciate that a similar process can be extended to more than 2 vertical partitions using multi-way partitioning such as ratio-cut or similar.
There are many manufacturing and performance advantages to the flexible construction and sizing of 3D Configurable System as described above. At the same time it is also helpful if the complete 3D Configurable System behaves as a single system rather than as a collection of individual tiles. In particular it is helpful is such 3D Configurable System can automatically configure itself for self-test and for functional operation in case of FPGA logic and the likes.
The described uniform approach to configuration, test, and initialization is also helpful for designing SoC dies that include programmable FPGA array of one or more tiles as a part of their architecture. The size-independent self-configuring electrical interface allows for easy electrical integration, while the autonomous FPGA self test and uniform configuration approach make the SoC boot sequence easier to manage.
U.S. Patent Application Publication 2009/0224364 describes methods to create 3D systems made of stacking very thin layers, of thickness of few tens to few hundreds of nanometers, of monocrystalline silicon with pre-implanted patterning on top of base wafer using low-temperature (below approximately 400° C.) technique called layer transfer.
An alternative of the invention uses vertical redundancy of configurable logic device such as FPGA to improve the yield of 3DICs.
Functional connection 1704 connects the output of LB (1,0,0) through switches 1706 and 1708 to the input of LB (2,0,0). In case LB (1,0,0) malfunctions, which can be found by testing, the corresponding LB (1,0,1) on the redundancy/repair layer can be programmed to replace it by turning off switch 1706 and turning on switches 1707, 1717, and 1716 instead. The short vertical distance between the original LB and the repair LB guarantees minimal impact on circuit performance. In a similar way LB (1,0,1) could serve to repair malfunction in LB (1,0,2). It should be noted that the optimal placement for the repair layer is about the center of the stack, to optimize the vertical distance between malfunctioning and repair LBs. It should be also noted that a single repair layer can repair more than two functional layers, with slowly decreasing efficacy of repair as the number of functional layers increases.
In a 3D IC based on layer transfer in U.S. Patent Applications Publications 2006/0275962 and 2007/0077694 we will call the underlying wafer a Receptor wafer, while the layer placed on top of it will come from a Donor wafer. Each such layer can be patterned with advanced fine pitch lithography to the limits permissible by existing manufacturing technology. Yet the alignment precision of such stacked layers is limited. Best layer transfer alignment between wafers is currently on the order of 1 micron, almost two orders of magnitude coarser than the feature size available at each individual layer, which prohibits true high-density vertical system integration.
This concept of small effective alignment error is only valid in the context of fine grain repetitive device structure stretching in both north-south and east-west directions, which will be described in the following sections.
Such structure is conducive for creation of customized CMOS circuits through metallization. Horizontally adjacent transistors can be electrically isolated by properly biasing the gate between them, such as grounding the NMOS gate and tying the PMOS to Vdd using custom metallization.
Using F to denote feature size of twice lambda, the minimum design rule, we shall estimate the repetition steps in such terrain. In the east-west direction gates 2022 are of F width and spaced perhaps 4F from each other, giving east-west step Wx 2026 of 5F. In north-south direction the active regions width can be perhaps 3F each, with isolation regions 2010, 2016 and 2018 being 3F, 1F and 5F respectively yielding 18F north-south step Wy 2024.
It should be noted that in all these alternatives of
Person skilled in the art will recognize that it is now possible to assemble a true monolithic 3D stack of monocrystalline silicon layers or strata with high performance devices using advanced lithography that repeatedly reuse same masks, with only few custom metal masks for each device layer. Such person will also appreciate that one can stack in the same way a mix of disparate layers, some carrying transistor array for general logic and other carrying larger scale blocks such as memories, analog elements, and I/O.
The concept of dense Continuous Array concept can be also applied to memory structure. Memory arrays have non-repetitive elements such as bit and word decoders, or sense amplifier, that need to be tailored to each memory size. The idea is to tile the whole wafer with a dense pattern of memory cell, and then customize it using selective etching as before, and providing the required non-repetitive structures through an adjacent logic layer below or above the memory layer. The memory array may include configurable memory.
In such way a single expensive mask set can be used to build many wafers for different memory sizes and finished through another mask set that is used to build many logic wafers that can be customized by few metal layers.
Another alternative of the invention for general type of 3D logic IC is presented on
It is important to note that substantially all the sequential cells like, for example, flip flops (FFs), in the logic layers as well as substantially all the primary output boundary scan have certain extra features as illustrated in
The way the repair works can be now readily understood from
Direct-Write e-Beam technology to customize the uncommitted logic through writing VIA6, and the replicated output is fed down to the faulty FF from the Repair Layer replacing the original faulty logic cone. It should be noted that because the physical location of the replicated logic cone can be made to be approximately the same as the original logic cone and just vertically displaced, the impact of the repaired logic on timing should be minimal. In alternate implementation additional features of uncommitted logic such as availability of variable strength buffers, may be used to create repair replica of the faulty logic cone that will be slightly faster to compensate for the extra vertical distance.
People skilled in the art will appreciate that Direct-Write e-Beam customization can be done on any metal or via layer as long as such layer is fabricated after the BCC construction and metallization is completed. They will also appreciate that for this repair technique to work the design can have sections of logic without scan, or without special circuitry for FFs such as described in
It should be noted that the repair flow just described can be used to correct not only static logic malfunctions but also timing malfunctions that may be discovered through the scan or BIST test. Slow logic cones may be replaced with faster implementations constructed from the uncommitted logic on the Repair Layer further improving the yield of such complex systems.
An alternative embodiment of the invention may use a small photovoltaic cell 24C10 to power the power supply unit instead of RF induction and RF to DC converter.
An alternative approach to increase yield of complex systems through use of 3D structure is to duplicate the same design on two layers vertically stacked on top of each other and use BIST techniques similar to those described in the previous sections to identify and replace malfunctioning logic cones. This should prove particularly effective repairing very large ICs with very low yields at manufacturing stage using one-time, or hard to reverse, repair structures such as antifuses or Direct-Write e-Beam customization. Similar repair approach can also assist systems that require self-healing ability at every power-up sequence through use of memory-based repair structures as described with regard to
It should be noted that the multiplexer control points 2641 and 2642 can be implemented using a memory cell, a fuse, an Antifuse, or any other customizable element such as metal link that can be customized by a Direct-Write e-Beam machine. If a memory cell is used, its contents can be stored in a ROM, a flash memory, or in some other non-volatile storage mechanism elsewhere in the 3D IC or in the system in which it is deployed and loaded upon a system power up, a system reset, or on-demand during system maintenance.
Upon power on the BCC initializes all multiplexer controls to select inputs A and runs diagnostic test on the design on each layer. Failing FF are identified at each logic layer using scan and BIST techniques, and as long as there is no pair of corresponding FF that fails, the BCCs can communicate with each other (directly or through an external tester) to determine which working FF to use and program the multiplexer controls 2641 and 2642 accordingly.
It should be noted that if multiplexer controls 2641 and 2642 are reprogrammable as in using memory cells, such test and repair process can potentially occur at every power on instance, or on demand, and the 3D IC can self-repair in-circuit. If the multiplexer controls are one-time programmable, the diagnostic and repair process may need to be performed using external equipment. It should be noted that the techniques for contact-less testing and repair as previously described with regard to
An alternative embodiment of this concept can use multiplexer 2514 at the inputs of the FF such as described in
Person skilled in the art will appreciate that this repair technique of selecting one of two possible outputs from two essentially similar blocks vertically stacked on top of each other can be applied to other type of blocks in addition to FF described above. Examples of such include, but are not limited to, analog blocks, I/O, memory, configurable memory, and other blocks. In such cases the selection of the working output may require specialized multiplexing but it does not change its essential nature.
Such person will also appreciate that once the BIST diagnosis of both layers is complete, a mechanism similar to the one used to define the multiplexer controls can be also used to selectively power off unused sections of a logic layers to save on power dissipation.
Yet another variation on the invention is to use vertical stacking for on the fly repair using redundancy concepts such as Triple (or higher) Modular Redundancy (“TMR”). TMR is a well known concept in the high-reliability industry where three copies of each circuit are manufactured and their outputs are channeled through a majority voting circuitry. Such TMR system will continue to operate correctly as long as no more than a single fault occurs in any TMR block. A major problem in designing TMR ICs is that when the circuitry is triplicated the interconnections become significantly longer slowing down the system speed, and the routing becomes more complex slowing down system design. Another major problem for TMR is that its design process is expensive because of correspondingly large design size, while its market is limited.
Vertical stacking offers a natural solution of replicating the system image on top of each other.
Person skilled in the art will appreciate that variations on this configuration are possible such as dedicating a separate layer just to the voting circuitry that will make layers 2701, 2702 and 2703 logically identical; relocating the voting circuitry to the input of the FFs rather than to its output; or extending the redundancy replication to more than 3 instances (and stacked layers).
The abovementioned method for designing TMR addresses both of the mentioned weaknesses. First, there is essentially no additional routing congestion in any layer because of TMR, and the design at each layer can be optimally implemented in a single image rather than in triplicate. Second, any design implemented for non high-reliability market can be converted to TMR design with minimal effort by vertical stacking of three original images and adding a majority voting circuitry either to one of the layers, to all three layers as in
The exemplary embodiments discussed so far are primarily concerned with yield enhancement and repair in the factory prior to shipping a 3D IC to a customer. Another aspect of the present invention is providing redundancy and self-repair once the 3D IC is deployed in the field. This is a desirable product characteristic because defects may occur in products that tested as operating correctly in the factory. For example, this can occur due to a delayed failure mechanism such as a defective gate dielectric in a transistor that develops into a short circuit between the gate and the underlying transistor source, drain or body. Immediately after fabrication such a transistor may function correctly during factory testing, but with time and applied voltages and temperatures, the defect can develop into a failure which may be detected during subsequent tests in the field. Many other delayed failure mechanisms are known. Regardless of the nature of the delayed defect, if it creates a logic error in the 3D IC then subsequent testing according to the present invention may be used to detect and repair it.
Regardless of the details of their construction, Layer 1 and Layer 2 in 3D IC 3100 perform substantially identical logic functions. In some embodiments, Layer 1 and Layer 2 may each be fabricated using the same masks for all layers to reduce manufacturing costs. In other embodiments there may be small variations on one or more mask layers. For example, there may be an option on one of the mask layers which creates a different logic signal on each layer which tells the control logic blocks on Layer 1 and Layer 2 that they are the controlling Layer 1 and Layer 2 respectively in cases where this is important. Other differences between the layers may be present as a matter of design choice.
Layer 1 comprises Control Logic 3110, representative scan flip flops 3111, 3112 and 3113, and representative combinational logic clouds 3114 and 3115, while Layer 2 comprises Control Logic 3120, representative scan flip flops 3121, 3122 and 3123, and representative logic clouds 3124 and 3125. Control Logic 3110 and scan flip flops 3111, 3112 and 3113 are coupled together to form a scan chain for set scan testing of combinational logic clouds 3114 and 3115 in a manner previously described. Control Logic 3120 and scan flip flops 3121, 3122 and 3123 are also coupled together to form a scan chain for set scan testing of combinational logic clouds 3124 and 3125. Control Logic blocks 3110 and 3120 are coupled together to allow coordination of the testing on both Layers. In some embodiments, Control Logic blocks 3110 and 3120 may be able to test either themselves or each other. If one of them is bad, the other can be used to control testing on both Layer 1 and Layer 2.
Persons of ordinary skill in the art will appreciate that the scan chains in
As with previously described embodiments, the Layer 1 and Layer 2 scan chains may be used in the factory for a variety of testing purposes. For example, Layer 1 and Layer 2 may each have an associated Repair Layer (not shown in
The SE, LAYER_SEL and CLK signals are not shown coupled to input ports on scan flip flop 3200 to avoid over complicating the disclosure—particularly in drawings like
When asserted, the SE signal places scan flip flop 3200 into scan mode causing multiplexer 3204 to gate the SI input to the D input of D-type flip flop 3202. Since this signal goes to all scan flip flops 3200 in a scan chain, this has the effect of connecting them together as a shift register allowing vectors to be shifted in and test results to be shifted out. When SE is not asserted, multiplexer 3204 selects the output of multiplexer 3206 to present to the D input of D-type flip flop 3202.
The CLK signal is shown as an “internal” signal here since its origin will differ from embodiment to embodiment as a matter of design choice. In practical designs, a clock signal (or some variation of it) is typically routed to every flip flop in its functional domain. In some scan test architectures, CLK will be selected by a third multiplexer (not shown in
The LAYER_SEL signal determines the data source of scan flip flop 3200 in normal operating mode. As illustrated in
XOR gate 3314 has a first input coupled to DATA1, a second input coupled to DATA2, and an output coupled to signal ERROR1. Similarly, XOR gate 3324 has a first input coupled to DATA2, a second input coupled to DATA1, and an output coupled to signal ERROR2. If the logic values present on the signals on DATA1 and DATA2 are not equal, ERROR1 and ERROR2 will equal logic-1 signifying there is a logic error present. If the signals on DATA1 and DATA2 are equal, ERROR1 and ERROR2 will equal logic-0 signifying there is no logic error present. Persons of ordinary skill in art will appreciate that the underlying assumption here is that only one of the Logic Cones 3310 and 3320 will be bad simultaneously. Since both Layer 1 and Layer 2 have already been factory tested, verified and, in some embodiments, repaired, the statistical likelihood of both logic cones developing a failure in the field is extremely unlikely even without any factory repair, thus validating the assumption.
In 3D IC 3300, the testing may be done in a number of different ways as a matter of design choice. For example, the clock could be stopped occasionally and the status of the ERROR1 and ERROR2 signals monitored in a spot check manner during a system maintenance period. Alternatively, operation can be halted and scan vectors run with a comparison done on every vector. In some embodiments a BIST testing scheme using Linear Feedback Shift Registers to generate pseudo-random vectors for Cyclic Redundancy Checking may be employed. These methods all involve stopping system operation and entering a test mode. Other methods of monitoring possible error conditions in real time will be discussed below.
In order to effect a repair in 3D IC 3300, two determinations are typically made: (1) the location of the logic cone with the error, and (2) which of the two corresponding logic cones is operating correctly at that location. Thus a method of monitoring the ERROR1 and ERROR2 signals and a method of controlling the LAYER_SEL signals of scan flip flops 3312 and 3322 are may be needed, though there are other approaches. In a practical embodiment, a method of reading and writing the state of the LAYER_SEL signal may be needed for factory testing to verify that Layer 1 and Layer 2 are both operating correctly.
Typically, the LAYER_SEL signal for each scan flip flop will be held in a programmable element like, for example, a volatile memory circuit like a latch storing one bit of binary data (not shown in
Various methods of monitoring ERROR1 and ERROR2 are possible. For example, a separate shift register chain on each Layer (not shown in
The cost of monitoring the ERROR1 and ERROR2 signals can be reduced further if it is combined with the circuitry necessary to write and read the latches storing the LAYER_SEL information. In some embodiments, for example, the LAYER_SEL latch may be coupled to the corresponding scan flip flop 3200 and have its value read and written through the scan chain. Alternatively, the logic cone, the scan flip flop, the XOR gate, and the LAYER_SEL latch may all be addressed using the same addressing circuitry.
Illustrated in
Also present in
The ERROR2 line 3372 may be read at the same address as latch 3370 using the circuit comprising N-channel transistors 3382, 3384 and 3386 and P-channel transistors 3390 and 3392. N-channel transistor 3382 has a gate terminal coupled to ERROR2 line 3372, a source terminal coupled to ground, and a drain terminal coupled to the source of N-channel transistor 3384. N-channel transistor 3384 has a gate terminal coupled to COL_ADDR line 3374, a source terminal coupled to N-channel transistor 3382, and a drain terminal coupled to the source of N-channel transistor 3386. N-channel transistor 3386 has a gate terminal coupled to ROW_ADDR line 3376, a source terminal coupled to the drain N-channel transistor 3384, and a drain terminal coupled to the drain of P-channel transistor 3390 and the gate of P-channel transistor 3392 through line 3388. P-channel transistor 3390 has a gate terminal coupled to ground, a source terminal coupled to the positive power supply, and a drain terminal coupled to line 3388. P-channel transistor 3392 has a gate terminal coupled to line 3388, a source terminal coupled to the positive power supply, and a drain terminal coupled to COL_BIT line 3378.
If the particular ERROR2 line 3372 in
A weak pull-down (not shown in
If the particular ERROR2 line 3372 in
An advantage of the addressing scheme of
At each location where a faulty logic cone is present, if any, the defect is isolated to a particular layer so that the correctly functioning logic cone may be selected by the corresponding scan flip flop on both Layer 1 and Layer 2. If a large non-volatile memory is present in the 3D IC 3300 or in the external system, then automatic test pattern generated (ATPG) vectors may be used in a manner similar to the factory repair embodiments. In this case, the scan itself is capable of identifying both the location and the correctly functioning layer. Unfortunately, this requires a large number of vectors and a correspondingly large amount of available non-volatile memory which may not be available in all embodiments.
Using some form of Built In Self Test (BIST) has the advantage of being self contained inside 3D IC 3300 without needing the storage of large numbers of test vectors. Unfortunately, BIST tests tend to be of the “go” or “no go” variety. They identify the presence of an error, but are not particularly good at diagnosing either the location or the nature of the fault. Fortunately, there are ways to combine the monitoring of the error signals previously described with BIST techniques and appropriate design methodology to quickly determine the correct values of the LAYER_SEL latches.
Present in
Present in LFB 3400 is Linear Feedback Shift Register (LFSR) 3430 circuit for generating pseudo-random input vectors for LFB 3400 in a manner well known in the art. In
Thus during a BIST test, all the inputs of LFB 3400 may be exercised with pseudo-random input vectors generated by LSFR 3430. As is known in the art, LSFR 3430 may be a single LSFR or a number of smaller LSFRs as a matter of design choice. LSFR 3430 is preferably implemented using a primitive polynomial to generate a maximum length sequence of pseudo-random vectors. LSFR 3430 needs to be seeded to a known value, so that the sequence of pseudo-random vectors is deterministic. The seeding logic can be inexpensively implemented internal to the LSFR 3430 flip flops and initialized, for example, in response to a reset signal.
Also present in LFB 3400 is Cyclic Redundancy Check (CRC) 3432 circuit for generating a signature of the LFB 3400 outputs generated in response to the pseudo-random input vectors generated by LFSR 3430 in a manner well known in the art. In
Thus during a BIST test, all the outputs of LFB 3400 may be analyzed to determine the correctness of their responses to the stimuli provided by the pseudo-random input vectors generated by LSFR 3430. As is known in the art, CRC 3432 may be a single CRC or a number of smaller CRCs as a matter of design choice. As known in the art, a CRC circuit is a special case of an LSFR, with additional circuits present to merge the observed data into the pseudo-random pattern sequence generated by the base LSFR. The CRC 3432 is preferably implemented using a primitive polynomial to generate a maximum sequence of pseudo-random patterns. CRC 3432 needs to be seeded to a known value, so that the signature generated by the pseudo-random input vectors is deterministic. The seeding logic can be inexpensively implemented internal to the LSFR 3430 flip flops and initialized, for example, in response to a reset signal. After completion of the test, the value present in the CRC 3432 is compared to the known value of the signature. If all the bits in CRC 3432 match, the signature is valid and the LFB 3400 is deemed to be functioning correctly. If one or more of the bits in CRC 3432 does not match, the signature is invalid and the LFB 3400 is deemed to not be functioning correctly. The value of the expected signature can be inexpensively implemented internal to the CRC 3432 flip flops and compared internally to CRC 3432 in response to an evaluate signal.
As shown in
Persons of ordinary skill in the art will appreciate that other BIST test approaches are known in the art and that any of them may be used to determine if LFB 3400 is functional or faulty.
In order to repair a 3D IC like 3D IC 3300 of
In Layer 1, scan flip flops 3511 and 3512 are coupled in series with Control Logic block 3510 to form a scan chain. Scan flip flops 3511 and 3512 can be ordinary scan flip flops of a type known in the art. The Q outputs of scan flip flops 3511 and 3512 are coupled to the D1 data inputs of multiplexers 3513 and 3514 respectively. Representative logic cone 3515 has a representative input coupled to the output of multiplexer 3513 and an output coupled to the D input of scan flip flop 3512.
In Layer 2, scan flip flops 3521 and 3522 are coupled in series with Control Logic block 3520 to form a scan chain. Scan flip flops 3521 and 3522 can be ordinary scan flip flops of a type known in the art. The Q outputs of scan flip flops 3521 and 3522 are coupled to the D1 data inputs of multiplexers 3523 and 3524 respectively. Representative logic cone 3525 has a representative input coupled to the output of multiplexer 3523 and an output coupled to the D input of scan flip flop 3522.
The Q output of scan flip flop 3511 is coupled to the D0 input of multiplexer 3523, the Q output of scan flip flop 3521 is coupled to the D0 input of multiplexer 3513, the Q output of scan flip flop 3512 is coupled to the D0 input of multiplexer 3524, and the Q output of scan flip flop 3522 is coupled to the D0 input of multiplexer 3514. Control Logic block 3510 is coupled to Control Logic block 3520 in a manner that allows coordination between testing functions between layers. In some embodiments the Control Logic blocks 3510 and 3520 can test themselves or each other and, if one is faulty, the other can control testing on both layers. These interlayer couplings may be realized by TSVs or by some other interlayer interconnect technology.
The logic functions performed on Layer 1 are substantially identical to the logic functions performed on Layer 2. The embodiment of 3D IC 3500 in
Layer 1 Logic Cone 3610 and Layer 2 Logic Cone 3620 implement substantially identical logic functions. In order to detect a faulty logic cone, the output of the logic cones 3610 and 3620 are captured in scan flip flops 3612 and 3622 respectively in a test mode. The Q outputs of the scan flip flops 3612 and 3622 are labeled Q1 and Q2 respectively in
All the methods of evaluating ERROR1 and ERROR2 described in conjunction with the embodiments of
Each instance of LFB 3720 has a plurality of multiplexers 3722 associated with its inputs and a plurality of multiplexers 3724 associated with its outputs. These multiplexers may be used to programmably or selectively replace the entire instance of LFB 3720 on either Layer 1 or Layer 2 with its counterpart on the other layer.
On power up, system reset, or on demand from control logic located internal to 3D IC 3700 or elsewhere in the system where 3D IC 3700 is deployed, the various blocks in the hierarchy can be tested. Any faulty block at any level of the hierarchy with BIST capability may be programmably and selectively replaced by its corresponding instance on the other Layer. Since this is determined at the block level, this decision can be made locally by the BIST control logic in each block (not shown in
Persons of ordinary skill in the art will appreciate that significant area can be saved by employing this embodiment. For example, since LFBs are evaluated instead of individual logic cones, the interlayer selection multiplexers for each individual flip flop like multiplexer 3206 in
Even the scan chains may be removed in some embodiments, though this is a matter of design choice. In embodiments where the scan chains are removed, factory testing and repair would also have to rely on the block BIST circuits. When a bad block is detected, an entire new block would need to be crafted on the Repair Layer with Direct-Write e-Beam. Typically this takes more time than crafting a replacement logic cone due to the greater number of patterns to shape, and the area savings may need to be compared to the test time losses to determine the economically superior decision.
Removing the scan chains also entails a risk in the early debug and prototyping stage of the design, since BIST circuitry is not very good for diagnosing the nature of problems. If there is a problem in the design itself, the absence of scan testing will make it harder to find and fix the problem, and the cost in terms of lost time to market can be very high and hard to quantify. Prudence might suggest leaving the scan chains in for reasons unrelated to the field repair aspects of the present invention.
Another advantage to embodiments using the block BIST approach is described in conjunction with
Present in
Persons of ordinary skill in the art will appreciate that there are many ways to programmably or selectively power down a block inside an integrated circuit known in the art and that the use of power select multiplexer 3730 in the embodiment of
In some embodiments, control logic (not shown in
Alternatively, if a layer, for example, Layer 1 is designated as the primary layer, then the BIST controllers in each block can independently determine which version of the block is to be used. Then the settings of the pluralities of multiplexers 3722 and 3724 are set to couple the used block to Layer 1 and the settings of multiplexers 3730 can be set to power down the unused block. Typically, this should reduce the power consumption by half relative to embodiments where power select multiplexers 3730 or equivalent are not implemented.
There are test techniques known in the art that are a compromise between the detailed diagnostic capabilities of scan testing with the simplicity of BIST testing. In embodiments employing such schemes, each BIST block (smaller than a typical LFB, but typically comprising a few tens to a few hundreds of logic cones) stores a small number of initial states in particular scan flip flops while most of the scan flip flops can use a default value. CAD tools may be used to analyze the design's net-list to identify the necessary scan flip flops to allow efficient testing.
During test mode, the BIST controller shifts in the initial values and then starts the clocking the design. The BIST controller has a signature register which might be a CRC or some other circuit which monitors bits internal to the block being tested. After a predetermined number of clock cycles, the BIST controller stops clocking the design, shifts out the data stored in the scan flip flops while adding their contents to the block signature, and compares the signature to a small number of stored signatures (one for each of the stored initial states.
This approach has the advantage of not needing a large number of stored scan vectors and the “go” or “no go” simplicity of BIST testing. The test block is less fine than identifying a single faulty logic cone, but much coarser than a large Logic Function Block. In general, the finer the test granularity (i.e., the smaller the size of the circuitry being substituted for faulty circuitry) the less chance of a delayed fault showing up in the same test block on both Layer 1 and Layer 2. Once the functional status of the BIST block has been determined, the appropriate values are written to the latches controlling the interlayer multiplexers to replace a faulty BIST block on one if the layers, if necessary. In some embodiments, faulty and unused BIST blocks may be powered down to conserve power.
While discussions of the various exemplary embodiments described so far concern themselves with finding and repairing defective logic cones or logic function blocks in a static test mode, embodiments of the present invention can address failures due to noise or timing. For example, in 3D IC 3100 of
Another approach is to use block BIST testing at power up, reset, or on-demand to over-clock each block at ever increasing frequencies until one fails, determine which layer version of the block is operating faster, and then substitute the faster block for the slower one at each instance in the design. This has the more modest time, intelligence and memory requirements generally associated with block BIST testing, but it still requires placing the 3D IC in a test mode.
XOR gate 3826 has a first input coupled to Q1, a second input coupled to Q2, and an output coupled to a first input of AND gate 3846. AND gate 3846 also has a second input coupled to TEST_EN line 3848 and an output coupled to the Set input of RS flip flop 3828. RS flip flop also has a Reset input coupled to Layer 2 Reset line 3830 and an output coupled to a first input of OR gate 3832 and the gate of N-channel transistor 3838. OR gate 3832 also has a second input coupled to Layer 2 OR-chain Input line 3834 and an output coupled to Layer 2 OR-chain Output line 3836.
Layer 2 control logic (not shown in
Layer 2 Reset line 3830 is used to reset the internal state of RS flip flop 3828 to logic-0 along with all the other RS flip flops associated with other logic cones on Layer 2. OR gate 3832 is coupled together with all of the other OR-gates associated with other logic cones on Layer 2 to form a large Layer 2 distributed OR function coupled to all of the Layer 2 RS flip flops like 3828 in
The control logic can then use the stack of N-channel transistors 3838, 3840 and 3842 to determine the location of the logic cone producing the error. N-channel transistor 3838 has a gate terminal coupled to the Q output of RS flip flop 3828, a source terminal coupled to ground, and a drain terminal coupled to the source of N-channel transistor 3840. N-channel transistor 3840 has a gate terminal coupled to the row address line ROW_ADDR line, a source terminal coupled to the drain of N-channel transistor 3838, and a drain terminal coupled to the source of N-channel transistor 3842. N-channel transistor 3842 has a gate terminal coupled to the column address line COL_ADDR line, a source terminal coupled to the drain of N-channel transistor 3840, and a drain terminal coupled to the sense line SENSE.
The row and column addresses are virtual addresses, since in a logic design the locations of the flip flops will not be neatly arranged in rows and columns. In some embodiments a Computer Aided Design (CAD) tool is used to modify the net-list to correctly address each logic cone and then the ROW_ADDR and COL_ADDR signals are routed like any other signal in the design.
This produces an efficient way for the control logic to cycle through the virtual address space. If COL_ADDR=ROW_ADDR=logic-1 and the state of RS flip flop is logic-1, then the transistor stack will pull SENSE=logic-0. Thus a logic-1 will only occur at a virtual address location where the RS flip flop has captured an error. Once an error has been detected, RS flip flop 3828 can be reset to logic-0 with the Layer 2 Reset line 3830 where it will be able to detect another error in the future.
The control logic can be designed to handle an error in any of a number of ways. For example, errors can be logged and if a logic error occurs repeatedly for the same logic cone location, then a test mode can be entered to determine if a repair is necessary at that location. This is a good approach to handle intermittent errors resulting from marginal logic cones that only occasionally fail, for example, due to noise, and may test as functional in normal testing. Alternatively, action can be taken upon receipt of the first error notification as a matter of design choice.
As discussed earlier in conjunction with
An alternative TMR approach is shown in exemplary 3D IC 3900 in
The logic cones 3910, 3920 and 3930 all perform a substantially identical logic function. The flip flops 3914, 3924 and 3934 are preferably scan flip flops. If a Repair Layer is present (not shown in
One advantage of the embodiment of
Another TMR approach is shown in exemplary 3D IC 4000 in
The logic cones 4010, 4020 and 4030 all perform a substantially identical logic function. The flip flops 4014, 4024 and 4034 are preferably scan flip flops. If a Repair Layer is present (not shown in
One advantage of the embodiment of
Another TMR embodiment is shown in exemplary 3D IC 4100 in
The logic cones 4110, 4120 and 4130 all perform a substantially identical logic function. The flip flops 4114, 4124 and 4134 are preferably scan flip flops. If a Repair Layer is present (not shown in
One advantage of the embodiment of
The present invention can be applied to a large variety of commercial as well as high reliability, aerospace and military applications. The ability to fix defects in the factory with Repair Layers combined with the ability to automatically fix delayed defects (by masking them with three layer TMR embodiments or replacing faulty circuits with two layer replacement embodiments) allows the creation of much larger and more complex three dimensional systems than is possible with conventional two dimensional integrated circuit (IC) technology. These various aspects of the present invention can be traded off against the cost requirements of the target application.
In order to reduce the cost of a 3D IC according to the present invention, it is desirable to use substantially (or a majority) the same set of masks to manufacture each Layer. This can be done by creating an identical structure of vias in an appropriate pattern on each layer and then offsetting it by a desired amount when aligning Layer 1 and Layer 2.
Similarly,
As previously discussed, in some embodiments of the present invention it is desirable for the control logic on each Layer of a 3D IC to know which layer it is. It is also desirable to use substantially all (or a majority) of the same masks for each Layers. In an embodiment using the one interlayer via pitch offset between layers to correctly couple the functional and repair connections, we can place a different via pattern in proximity to the control logic to exploit the interlayer offset and uniquely identify each of the layers to its control logic.
Persons of ordinary skill in the art will appreciate that the metal connections between Layer 1 and Layer 2 will typically be much larger comprising larger pads and numerous TSVs or other interlayer interconnections. This makes alignment of the power supply nodes easy and ensures that L1/V and L2/V will both be at the positive power supply potential and that L1/G and L2/G will both be at ground potential.
Several embodiments of the present invention utilize Triple Modular Redundancy distributed over three Layers. In such embodiments it is desirable to use substantially (or majority of) the same masks for all three Layers.
In via metal overlap pattern 4400, via metal overlap pads 4402, 4412 and 4416 are coupled to the X0 input of the MAJ3 gate on that layer, via metal overlap pads 4404, 4408 and 4418 are coupled to the X1 input of the MAJ3 gate on that layer, and via metal overlap pads 4406, 4410 and 4414 are coupled to the X2 input of the MAJ3 gate on that layer.
Thus there are three locations where a via metal overlap pad is aligned on all three layers.
Thus the interlayer vias 4430 and 4432 are vertically aligned and couple together the Layer 1 X2 MAJ3 gate input, the Layer 2 X0 MAJ3 gate input, and the Layer 3 X1 MAJ3 gate input. Similarly, the interlayer vias 4440 and 4442 are vertically aligned and couple together the Layer 1 X1 MAJ3 gate input, the Layer 2 X2 MAJ3 gate input, and the Layer 3 X0 MAJ3 gate input. Finally, the interlayer vias 4450 and 4452 are vertically aligned and couple together the Layer 1 X0 MAJ3 gate input, the Layer 2 X1 MAJ3 gate input, and the Layer 3 X2 MAJ3 gate input. Since the X0 input of the MAJ3 gate in each layer is driven from that layer, we can see that each driver is coupled to a different MAJ3 gate input on each layer assuring that no drivers are shorted together and the each MAJ3 gate on each layer receives inputs from each of the three drivers on the three Layers.
The present invention can be applied to a large variety of commercial as well as high reliability, aerospace and military applications. The ability to fix defects in the factory with Repair Layers combined with the ability to automatically fix delayed defects (by masking them with three layer TMR embodiments or replacing faulty circuits with two layer replacement embodiments) allows the creation of much larger and more complex three dimensional systems than is possible with conventional two dimensional integrated circuit (IC) technology. These various aspects of the present invention can be traded off against the cost requirements of the target application.
For example, a 3D IC targeted an inexpensive consumer products where cost is dominant consideration might do factory repair to maximize yield in the factory but not include any field repair circuitry to minimize costs in products with short useful lifetimes. A 3D IC aimed at higher end consumer or lower end business products might use factory repair combined with two layer field replacement. A 3D IC targeted at enterprise class computing devices which balance cost and reliability might skip doing factory repair and use TMR for both acceptable yields as well as field repair. A 3D IC targeted at high reliability, military, aerospace, space or radiation tolerant applications might do factory repair to ensure that all three instances of every circuit are fully functional and use TMR for field repair as well as SET and SEU filtering. Battery operated devices for the military market might add circuitry to allow the device to operate only one of the three TMR layers to save battery life and include a radiation detection circuit which automatically switches into TMR mode when needed if the operating environment changes. Many other combinations and tradeoffs are possible within the scope of the invention.
Some embodiments of the present invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the present invention may enable device solutions with far less power consumption than prior art. These device solutions could be very useful for the growing application of mobile electronic devices or systems such as mobile phones, smart phone, cameras and the like. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the present invention within these mobile electronic devices or systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.
3D ICs according to some embodiments of the present invention could also enable electronic and semiconductor devices with much a higher performance due to the shorter interconnect as well as semiconductor devices with far more complexity via multiple levels of logic and providing the ability to repair or use redundancy. The achievable complexity of the semiconductor devices according to some embodiments of the present invention could far exceed what was practical with the prior art technology. These advantages could lead to more powerful computer systems and improved systems that have embedded computers.
Some embodiments of the present invention may also enable the design of state of the art electronic systems at a greatly reduced non-recurring engineering (NRE) cost by the use of high density 3D FPGAs or various forms of 3D array base ICs with reduced custom masks as been described previously. These systems could be deployed in many products and in many market segments. Reduction of the NRE may enable new product family or application development and deployment early in the product lifecycle by lowering the risk of upfront investment prior to a market being developed. The above advantages may also be provided by various mixes such as reduce NRE using generic masks for layers of logic and other generic mask for layers of memories and building a very complex system using the repair technology to overcome the inherent yield limitation. Another form of mix could be building a 3D FPGA and add on it 3D layers of customizable logic and memory so the end system could have field programmable logic on top of the factory customized logic. In fact there are many ways to mix the many innovative elements to form 3D IC to support the need of an end system and to provide it with competitive edge. Such end system could be electronic based products or other type of systems that include some level of embedded electronics, such as, for example, cars, remote controlled vehicles, etc.
It is worth noting that many of the principles of the present invention are also applicable to conventional two dimensional integrated circuits (2DICs). For example, an analogous of the two layer field repair embodiments could be built on a single layer with both versions of the duplicate circuitry on a single 2D IC employing the same cross connections between the duplicate versions. A programmable technology like, for example, fuses, antifuses, flash memory storage, etc., could be used to effect both factory repair and field repair. Similarly, an analogous version of some of the TMR embodiments are unique topologies in 2DICs as well as in 3DICs which would also improve the yield or reliability of 2D IC systems if implemented on a single layer.
Yet another variation on the invention is to use the concepts of repair and redundancy layers to implement extremely large designs that extend beyond the size of a single reticle, up to and inclusive of a full wafer. This concept of Wafer Scale Integration (“WSI”) was attempted in the past by companies such as Trilogy Systems and was abandoned because of extremely low yield. The ability of the current invention to effect multiple repairs by using a repair layer, or of masking multiple faults by using redundancy layers, makes WSI with very high yield a viable option.
One embodiment of the present invention improves WSI by using the Continuous Array (CA) concept described above. In the case of WSI, however, the CA may extend beyond a single reticle and may potentially span the whole wafer. A custom mask may be used to etch away unused parts of the wafer.
Particular care must be taken when a design such as WSI crosses reticle boundaries. Alignment of features across a reticle boundary may be worse than the alignment of features within the reticle, and WSI designs must accommodate this potential misalignment. One way of addressing this is to use wider than minimum metal lines, with larger than minimum pitches, to cross the reticle boundary, while using a full lithography resolution within the reticle.
Another embodiment of the present invention uses custom reticles for location on the wafer, creating a partial of full custom design across the wafer. As in the previous case, wider lines and coarser line pitches may be used for reticle boundary crossing.
In all WSI embodiments yield-enhancement is achieved through fault masking techniques such as TMR, or through repair layers, as illustrated in
In another variation on the WSI invention one can selectively replace blocks on one layer with blocks on the other layer to provide speed improvement rather than to effect logical repair.
In another variation on the WSI invention one can use vertical stacking techniques as illustrated in
The array of reticles comprising a WSI design may extend as necessary across the wafer, up to and inclusive of the whole wafer. In the case where the WSI is smaller than the full wafer, multiple WSI designs may be placed on a single wafer.
Another use of this invention is in bringing to market, in a cost-effective manner, semiconductor devices in the early stage of introducing a new lithography process to the market, when the process yield is low. Currently, low yield poses major cost and availability challenges during the new lithography process introduction stage. Using any or all three-dimensional repair or fault tolerance techniques described in this invention and illustrated in
Despite best simulation and verification efforts, many designs end up containing design bugs even after implementation and manufacturing as semiconductor devices. As design complexity, size, and speed grow, debugging modern devices after manufacturing, the so-called “post-silicon debugging,” becomes more difficult and more expensive. A major cause for this difficulty lies in the need to access a large number of signals over many clock cycles, on top of the fact that some design errors may manifest themselves only when the design is run at-speed. U.S. Pat. No. 7,296,201 describes how to overcome this difficulty by incorporating debugging elements into design itself, providing the ability to control and trace logic circuits, to assist in their debugging. DAFCA of Framingham, Mass. offers technology based on this principle.
The current invention of 3D devices, including monolithic 3D devices, offers new ways for cost-effective post-silicon debugging. One possibility is to use an uncommitted repair layer 2432 such as illustrated in
Designing customized DFDI is in itself an expensive endeavor.
Another variation on this invention uses logic layers or strata that do not include flip flops manufactured on a regular grid but still uses standardized DFDI 5032 as described above. In this case a relatively inexpensive custom metal interconnect masks can be designed just to create an interposer 5034 to translate the irregular flip flop pattern on logic layers 5002, 5012 and 5022 to the regular interconnect of standardized DFDI layer. Similarly to the previous cases, once the post-silicon debugging is completed, the interposer and the standardized DFDI are replaced by a regular repair layer 2432.
Another variation on the DFDI invention illustrated in
A person of ordinary skills in the art will recognize that the DFDI invention such as illustrated in
Another serious problem with designing semiconductor devices as the lithography minimum feature size scales down is signal re-buffering using repeaters. With the increased resistivity of metal traces in the deep sub-micron regime, signals need to be re-buffered at rapidly decreasing intervals to maintain circuit performance and immunity to circuit noise. This phenomenon has been described at length in “Prashant Saxena et al., Repeater Scaling and Its Impact on CAD, IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, Vol. 23, No. 4, April 2004.” The current invention offers a new way to minimize the routing impact of such re-buffering. Long distance signals are frequently routed on high metal layers to give them special treatment like wire size or isolation from crosstalk. When signals present on high metal layers need re-buffering, an embodiment of the present invention is to use the active layer or strata above to insert repeaters, rather than drop the signal all the way to the diffusion layer of its current layer or strata. This approach reduces the routing blockages created by the large number of vias created when signals repeatedly need to move between high metal layers and the diffusion below, and suggests to selectively replace them with fewer vias to the active layer above.
Manufacturing wafers with advanced lithography and multiple metal layers is expensive. Manufacturing three-dimensional devices, including monolithic 3D devices, where multiple advanced lithography layers or strata each with multiple metal layers are stacked on top of each other is even more expensive. The vertical stacking process offers new degree of freedom that can be leveraged with appropriate Computer Aided Design (“CAD”) tools to lower the manufacturing cost.
Most designs are made of blocks, but the characteristics of these block is frequently not uniform. Consequently, certain blocks may require fewer routing resources, while other blocks may require very dense routing resources. In two dimensional devices the block with the highest routing density demands dictates the number of metal layers for the whole device, even if some device regions may not need them. Three dimensional devices offer a new possibility of partitioning designs into multiple layers or strata based on the routing demands of the blocks assigned to each layer or strata.
Another variation on this invention is to partition designs into blocks that require a particular advanced process technology for reasons of density or speed, and blocks that have less demanding requirements for reasons of speed, area, voltage, power, or other technology parameters. Such partitioning may be carried into two or more partitions and consequently different process technologies or nodes may be used on different vertical layers or strata to provide optimized fit to the design's logic and cost demands. This is particularly important in mobile, mass-produced devices, where both cost and optimized power consumption are of paramount importance.
Synthesis CAD tools currently used in the industry for two-dimensional devices include a single target library. For three-dimensional designs these synthesis tools or design automation tools may need to be enhanced to support two or more target libraries to be able to support synthesis for disparate technology characteristics of vertical layers or strata. Such disparate layers or strata will allow better cost or power optimization of three-dimensional designs.
The partitioning starts with synthesis into APL with a target performance. Once complete, timing analysis may be done on the design and paths may be sorted by timing slack. The total estimated chip area A(t) may be computed and reasonable margins may be added as usual in anticipation of routing congestion and buffer insertion. The number of vertical layers S may be selected and the overall footprint A(t)/S may be computed.
In the first phase components belonging to paths estimated to require APL, based on timing slack below selected threshold Th, may be set aside (tagged APL). The area of these component may be computed to be A(apl). If A(apl) represents a fraction of total area A(t) greater than (S−1)/S then the process terminates and no partitioning into APL and RPL is possible—the whole design needs to be in the APL.
If the fraction of the design that requires APL is smaller than (S−1)/S then it is possible to have at least one layer of RPL. The partitioning process now starts from the largest slack path and towards lower slack paths. It tentatively tags all components of those paths that are not tagged APL with RPL, while accumulating the area of the marked components as A(rpl). When A(rpl) exceeds the area of a complete layer, A(t)/S, the components tentatively marked RPL may be permanently tagged RPL and the process continues after resetting A(rpl) to zero. If all paths are revisited and the components tentatively tagged RPL do not make for an area of a complete layer or strata, their tagging may be reversed back to APL and the process is terminated. The reason is that we want to err on the side of caution and a layer or strata should be an APL layer if it contains a mix of APL and RPL components.
The process as described assumes the availability of equivalent components in both APL and RPL technology. Ordinary persons skilled in the art will recognize that variations on this process can be done to accommodate non-equivalent technology libraries through remapping of the RPL-tagged components in a subsequent synthesis pass to an RPL target library, while marking all the APL-tagged components as untouchable. Similarly, different area requirements between APL and RPL can be accommodated through scaling and de-rating factors at the decision making points of the flow. Moreover, the term layer, when used in the context of layers of mono-crystalline silicon and associated transistors, interconnect, and other associated device structures in a 3D device, such as, for example, uncommitted repair layer 2432, may also be referred to as stratum or strata.
The partitioning process described above can be re-applied to the resulting partitions to produce multi-way partitioning and further optimize the design to minimize cost and power while meeting performance objectives.
While embodiments and applications of the present invention have been shown and described, it would be apparent to those of ordinary skill in the art that many more modifications than mentioned above are possible without departing from the inventive concepts herein. The invention, therefore, is not to be limited except by the spirit of the appended claims.
This application is continuation in part of U.S. application Ser. No. 13/098,997 filed on May 2, 2011, which is a continuation in part of U.S. application Ser. Nos. 12/423,214, filed Apr. 14, 2009, 12/577,532, filed Oct. 12, 2009, 12/706,520, filed Feb. 16, 2010, 12/847,911, filed Jul. 30, 2010, now U.S. Pat. No. 7,960,242, 12/859,665, filed Aug. 19, 2010, 12/894,252, filed Sep. 30, 2010, now U.S. Pat. No. 8,258,810, 12/900,379, filed Oct. 7, 2010, and 12/904,108, filed Oct. 13, 2010 the contents of which are incorporated by reference.
Number | Name | Date | Kind |
---|---|---|---|
3007090 | Rutz | Oct 1961 | A |
3819959 | Chang et al. | Jun 1974 | A |
4197555 | Uehara et al. | Apr 1980 | A |
4400715 | Barbee et al. | Aug 1983 | A |
4487635 | Kugimiya et al. | Dec 1984 | A |
4522657 | Rohatgi et al. | Jun 1985 | A |
4612083 | Yasumoto et al. | Sep 1986 | A |
4643950 | Ogura et al. | Feb 1987 | A |
4704785 | Curran | Nov 1987 | A |
4711858 | Harder et al. | Dec 1987 | A |
4721885 | Brodie | Jan 1988 | A |
4732312 | Kennedy et al. | Mar 1988 | A |
4733288 | Sato | Mar 1988 | A |
4829018 | Wahlstrom | May 1989 | A |
4854986 | Raby | Aug 1989 | A |
4866304 | Yu | Sep 1989 | A |
4939568 | Kato et al. | Jul 1990 | A |
4956307 | Pollack et al. | Sep 1990 | A |
5012153 | Atkinson et al. | Apr 1991 | A |
5032007 | Silverstein et al. | Jul 1991 | A |
5047979 | Leung | Sep 1991 | A |
5087585 | Hayashi | Feb 1992 | A |
5093704 | Saito et al. | Mar 1992 | A |
5106775 | Kaga et al. | Apr 1992 | A |
5152857 | Ito et al. | Oct 1992 | A |
5162879 | Gill | Nov 1992 | A |
5217916 | Anderson et al. | Jun 1993 | A |
5250460 | Yamagata et al. | Oct 1993 | A |
5258643 | Cohen | Nov 1993 | A |
5265047 | Leung et al. | Nov 1993 | A |
5266511 | Takao | Nov 1993 | A |
5277748 | Sakaguchi et al. | Jan 1994 | A |
5286670 | Kang et al. | Feb 1994 | A |
5294556 | Kawamura | Mar 1994 | A |
5308782 | Mazure et al. | May 1994 | A |
5312771 | Yonehara | May 1994 | A |
5317236 | Zavracky et al. | May 1994 | A |
5324980 | Kusunoki | Jun 1994 | A |
5355022 | Sugahara et al. | Oct 1994 | A |
5371037 | Yonehara | Dec 1994 | A |
5374564 | Bruel | Dec 1994 | A |
5374581 | Ichikawa et al. | Dec 1994 | A |
5424560 | Norman et al. | Jun 1995 | A |
5475280 | Jones et al. | Dec 1995 | A |
5478762 | Chao | Dec 1995 | A |
5485031 | Zhang et al. | Jan 1996 | A |
5498978 | Takahashi et al. | Mar 1996 | A |
5527423 | Neville et al. | Jun 1996 | A |
5535342 | Taylor | Jul 1996 | A |
5554870 | Fitch et al. | Sep 1996 | A |
5563084 | Ramm et al. | Oct 1996 | A |
5583349 | Norman et al. | Dec 1996 | A |
5583350 | Norman et al. | Dec 1996 | A |
5594563 | Larson | Jan 1997 | A |
5604137 | Yamazaki et al. | Feb 1997 | A |
5617991 | Pramanick et al. | Apr 1997 | A |
5627106 | Hsu | May 1997 | A |
5656548 | Zavracky et al. | Aug 1997 | A |
5670411 | Yonehara | Sep 1997 | A |
5681756 | Norman et al. | Oct 1997 | A |
5695557 | Yamagata et al. | Dec 1997 | A |
5701027 | Gordon et al. | Dec 1997 | A |
5707745 | Forrest et al. | Jan 1998 | A |
5714395 | Bruel | Feb 1998 | A |
5721160 | Forrest et al. | Feb 1998 | A |
5737748 | Shigeeda | Apr 1998 | A |
5739552 | Kimura et al. | Apr 1998 | A |
5744979 | Goetting | Apr 1998 | A |
5748161 | Lebby et al. | May 1998 | A |
5757026 | Forrest et al. | May 1998 | A |
5770881 | Pelella et al. | Jun 1998 | A |
5781031 | Bertin et al. | Jul 1998 | A |
5829026 | Leung et al. | Oct 1998 | A |
5835396 | Zhang | Nov 1998 | A |
5854123 | Sato et al. | Dec 1998 | A |
5861929 | Spitzer | Jan 1999 | A |
5877070 | Goesele et al. | Mar 1999 | A |
5882987 | Srikrishnan | Mar 1999 | A |
5883525 | Tavana et al. | Mar 1999 | A |
5889903 | Rao | Mar 1999 | A |
5893721 | Huang et al. | Apr 1999 | A |
5915167 | Leedy | Jun 1999 | A |
5937312 | Iyer et al. | Aug 1999 | A |
5943574 | Tehrani et al. | Aug 1999 | A |
5952680 | Strite | Sep 1999 | A |
5952681 | Chen | Sep 1999 | A |
5965875 | Merrill | Oct 1999 | A |
5977579 | Noble | Nov 1999 | A |
5977961 | Rindal | Nov 1999 | A |
5980633 | Yamagata et al. | Nov 1999 | A |
5985742 | Henley et al. | Nov 1999 | A |
5998808 | Matsushita | Dec 1999 | A |
6001693 | Yeouchung et al. | Dec 1999 | A |
6009496 | Tsai | Dec 1999 | A |
6020252 | Aspar et al. | Feb 2000 | A |
6020263 | Shih et al. | Feb 2000 | A |
6027958 | Vu et al. | Feb 2000 | A |
6030700 | Forrest et al. | Feb 2000 | A |
6052498 | Paniccia | Apr 2000 | A |
6057212 | Chan et al. | May 2000 | A |
6071795 | Cheung et al. | Jun 2000 | A |
6103597 | Aspar et al. | Aug 2000 | A |
6111260 | Dawson et al. | Aug 2000 | A |
6125217 | Paniccia et al. | Sep 2000 | A |
6153495 | Kub et al. | Nov 2000 | A |
6191007 | Matsui et al. | Feb 2001 | B1 |
6222203 | Ishibashi et al. | Apr 2001 | B1 |
6229161 | Nemati et al. | May 2001 | B1 |
6242324 | Kub et al. | Jun 2001 | B1 |
6259623 | Takahashi | Jul 2001 | B1 |
6264805 | Forrest et al. | Jul 2001 | B1 |
6281102 | Cao et al. | Aug 2001 | B1 |
6294018 | Hamm et al. | Sep 2001 | B1 |
6306705 | Parekh et al. | Oct 2001 | B1 |
6321134 | Henley et al. | Nov 2001 | B1 |
6322903 | Siniaguine et al. | Nov 2001 | B1 |
6331468 | Aronowitz et al. | Dec 2001 | B1 |
6331790 | Or-Bach et al. | Dec 2001 | B1 |
6353492 | McClelland et al. | Mar 2002 | B2 |
6355501 | Fung et al. | Mar 2002 | B1 |
6358631 | Forrest et al. | Mar 2002 | B1 |
6365270 | Forrest et al. | Apr 2002 | B2 |
6376337 | Wang et al. | Apr 2002 | B1 |
6380046 | Yamazaki | Apr 2002 | B1 |
6392253 | Saxena | May 2002 | B1 |
6417108 | Akino et al. | Jul 2002 | B1 |
6420215 | Knall et al. | Jul 2002 | B1 |
6423614 | Doyle | Jul 2002 | B1 |
6429481 | Mo et al. | Aug 2002 | B1 |
6429484 | Yu | Aug 2002 | B1 |
6430734 | Zahar | Aug 2002 | B1 |
6475869 | Yu | Nov 2002 | B1 |
6476493 | Or-Bach et al. | Nov 2002 | B2 |
6479821 | Hawryluk et al. | Nov 2002 | B1 |
6515511 | Sugibayashi et al. | Feb 2003 | B2 |
6526559 | Schiefele et al. | Feb 2003 | B2 |
6528391 | Henley et al. | Mar 2003 | B1 |
6534352 | Kim | Mar 2003 | B1 |
6534382 | Sakaguchi et al. | Mar 2003 | B1 |
6544837 | Divakauni et al. | Apr 2003 | B1 |
6545314 | Forbes et al. | Apr 2003 | B2 |
6555901 | Yoshihara et al. | Apr 2003 | B1 |
6563139 | Hen | May 2003 | B2 |
6580289 | Cox | Jun 2003 | B2 |
6600173 | Tiwari | Jul 2003 | B2 |
6624046 | Zavracky et al. | Sep 2003 | B1 |
6627518 | Inoue et al. | Sep 2003 | B1 |
6630713 | Geusic | Oct 2003 | B2 |
6635552 | Gonzalez | Oct 2003 | B1 |
6635588 | Hawryluk et al. | Oct 2003 | B1 |
6638834 | Gonzalez | Oct 2003 | B2 |
6642744 | Or-Bach et al. | Nov 2003 | B2 |
6653209 | Yamagata | Nov 2003 | B1 |
6661085 | Kellar et al. | Dec 2003 | B2 |
6677204 | Cleeves et al. | Jan 2004 | B2 |
6686253 | Or-Bach | Feb 2004 | B2 |
6703328 | Tanaka et al. | Mar 2004 | B2 |
6756633 | Wang et al. | Jun 2004 | B2 |
6756811 | Or-Bach | Jun 2004 | B2 |
6759282 | Campbell et al. | Jul 2004 | B2 |
6762076 | Kim et al. | Jul 2004 | B2 |
6774010 | Chu et al. | Aug 2004 | B2 |
6805979 | Ogura et al. | Oct 2004 | B2 |
6806171 | Ulyashin et al. | Oct 2004 | B1 |
6809009 | Aspar et al. | Oct 2004 | B2 |
6815781 | Vyvoda et al. | Nov 2004 | B2 |
6819136 | Or-Bach | Nov 2004 | B2 |
6821826 | Chan et al. | Nov 2004 | B1 |
6844243 | Gonzalez | Jan 2005 | B1 |
6864534 | Ipposhi et al. | Mar 2005 | B2 |
6875671 | Faris | Apr 2005 | B2 |
6882572 | Wang et al. | Apr 2005 | B2 |
6888375 | Feng et al. | May 2005 | B2 |
6917219 | New | Jul 2005 | B2 |
6930511 | Or-Bach | Aug 2005 | B2 |
6943067 | Greenlaw | Sep 2005 | B2 |
6943407 | Ouyang et al. | Sep 2005 | B2 |
6953956 | Or-Bach et al. | Oct 2005 | B2 |
6967149 | Meyer et al. | Nov 2005 | B2 |
6985012 | Or-Bach | Jan 2006 | B2 |
6989687 | Or-Bach | Jan 2006 | B2 |
6995430 | Langdo et al. | Feb 2006 | B2 |
6995456 | Nowak | Feb 2006 | B2 |
7015719 | Feng et al. | Mar 2006 | B1 |
7016569 | Mule et al. | Mar 2006 | B2 |
7018875 | Madurawe | Mar 2006 | B2 |
7019557 | Madurawe | Mar 2006 | B2 |
7043106 | West et al. | May 2006 | B2 |
7052941 | Lee | May 2006 | B2 |
7064579 | Madurawe | Jun 2006 | B2 |
7067396 | Aspar et al. | Jun 2006 | B2 |
7068070 | Or-Bach | Jun 2006 | B2 |
7068072 | New et al. | Jun 2006 | B2 |
7078739 | Nemati et al. | Jul 2006 | B1 |
7094667 | Bower | Aug 2006 | B1 |
7098691 | Or-Bach et al. | Aug 2006 | B2 |
7105390 | Brask et al. | Sep 2006 | B2 |
7105871 | Or-Bach et al. | Sep 2006 | B2 |
7109092 | Tong | Sep 2006 | B2 |
7110629 | Bjorkman et al. | Sep 2006 | B2 |
7111149 | Eilert | Sep 2006 | B2 |
7115945 | Lee et al. | Oct 2006 | B2 |
7115966 | Ido et al. | Oct 2006 | B2 |
7141853 | Campbell et al. | Nov 2006 | B2 |
7148119 | Sakaguchi et al. | Dec 2006 | B1 |
7157787 | Kim et al. | Jan 2007 | B2 |
7157937 | Apostol et al. | Jan 2007 | B2 |
7166520 | Henley | Jan 2007 | B1 |
7170807 | Fazan et al. | Jan 2007 | B2 |
7173369 | Forrest et al. | Feb 2007 | B2 |
7180091 | Yamazaki et al. | Feb 2007 | B2 |
7180379 | Hopper et al. | Feb 2007 | B1 |
7189489 | Kunimoto et al. | Mar 2007 | B2 |
7205204 | Ogawa et al. | Apr 2007 | B2 |
7209384 | Kim | Apr 2007 | B1 |
7217636 | Atanackovic | May 2007 | B1 |
7223612 | Sarma | May 2007 | B2 |
7242012 | Leedy | Jul 2007 | B2 |
7245002 | Akino et al. | Jul 2007 | B2 |
7256104 | Ito et al. | Aug 2007 | B2 |
7259091 | Schuehrer et al. | Aug 2007 | B2 |
7265421 | Madurawe | Sep 2007 | B2 |
7271420 | Cao | Sep 2007 | B2 |
7282951 | Huppenthal et al. | Oct 2007 | B2 |
7296201 | Abramovici | Nov 2007 | B2 |
7304355 | Zhang | Dec 2007 | B2 |
7312109 | Madurawe | Dec 2007 | B2 |
7312487 | Alam et al. | Dec 2007 | B2 |
7335573 | Takayama et al. | Feb 2008 | B2 |
7337425 | Kirk | Feb 2008 | B2 |
7338884 | Shimoto et al. | Mar 2008 | B2 |
7351644 | Henley | Apr 2008 | B2 |
7358601 | Plants et al. | Apr 2008 | B1 |
7369435 | Forbes | May 2008 | B2 |
7371660 | Henley et al. | May 2008 | B2 |
7378702 | Lee | May 2008 | B2 |
7393722 | Issaq et al. | Jul 2008 | B1 |
7419844 | Lee et al. | Sep 2008 | B2 |
7436027 | Ogawa et al. | Oct 2008 | B2 |
7439773 | Or-Bach et al. | Oct 2008 | B2 |
7446563 | Madurawe | Nov 2008 | B2 |
7459752 | Doris et al. | Dec 2008 | B2 |
7459763 | Issaq et al. | Dec 2008 | B1 |
7459772 | Speers | Dec 2008 | B2 |
7463062 | Or-Bach et al. | Dec 2008 | B2 |
7470142 | Lee | Dec 2008 | B2 |
7470598 | Lee | Dec 2008 | B2 |
7476939 | Okhonin et al. | Jan 2009 | B2 |
7477540 | Okhonin et al. | Jan 2009 | B2 |
7485968 | Enquist et al. | Feb 2009 | B2 |
7486563 | Waller et al. | Feb 2009 | B2 |
7488980 | Takafuji et al. | Feb 2009 | B2 |
7492632 | Carman | Feb 2009 | B2 |
7495473 | McCollum et al. | Feb 2009 | B2 |
7498675 | Farnworth et al. | Mar 2009 | B2 |
7499352 | Singh | Mar 2009 | B2 |
7499358 | Bauser | Mar 2009 | B2 |
7508034 | Takafuji et al. | Mar 2009 | B2 |
7514748 | Fazan et al. | Apr 2009 | B2 |
7541616 | Fazan et al. | Jun 2009 | B2 |
7547589 | Iriguchi | Jun 2009 | B2 |
7557367 | Rogers et al. | Jul 2009 | B2 |
7563659 | Kwon et al. | Jul 2009 | B2 |
7566855 | Olsen et al. | Jul 2009 | B2 |
7586778 | Ho et al. | Sep 2009 | B2 |
7589375 | Jang et al. | Sep 2009 | B2 |
7608848 | Ho et al. | Oct 2009 | B2 |
7622367 | Nuzzo et al. | Nov 2009 | B1 |
7632738 | Lee | Dec 2009 | B2 |
7633162 | Lee | Dec 2009 | B2 |
7666723 | Frank et al. | Feb 2010 | B2 |
7671371 | Lee | Mar 2010 | B2 |
7671460 | Lauxtermann et al. | Mar 2010 | B2 |
7674687 | Henley | Mar 2010 | B2 |
7687372 | Jain | Mar 2010 | B2 |
7688619 | Lung et al. | Mar 2010 | B2 |
7692202 | Bensch | Apr 2010 | B2 |
7692448 | Solomon | Apr 2010 | B2 |
7692944 | Bernstein et al. | Apr 2010 | B2 |
7697316 | Lai et al. | Apr 2010 | B2 |
7709932 | Nemoto et al. | May 2010 | B2 |
7718508 | Lee | May 2010 | B2 |
7723207 | Alam et al. | May 2010 | B2 |
7728326 | Yamazaki et al. | Jun 2010 | B2 |
7732301 | Pinnington et al. | Jun 2010 | B1 |
7749884 | Mathew et al. | Jul 2010 | B2 |
7759043 | Tanabe et al. | Jul 2010 | B2 |
7768115 | Lee et al. | Aug 2010 | B2 |
7776715 | Wells et al. | Aug 2010 | B2 |
7777330 | Pelley et al. | Aug 2010 | B2 |
7786460 | Lung et al. | Aug 2010 | B2 |
7786535 | Abou-Khalil et al. | Aug 2010 | B2 |
7790524 | Abadeer et al. | Sep 2010 | B2 |
7795619 | Hara | Sep 2010 | B2 |
7799675 | Lee | Sep 2010 | B2 |
7800099 | Yamazaki et al. | Sep 2010 | B2 |
7800199 | Oh et al. | Sep 2010 | B2 |
7846814 | Lee | Dec 2010 | B2 |
7867822 | Lee | Jan 2011 | B2 |
7888764 | Lee | Feb 2011 | B2 |
8014195 | Okhonin et al. | Sep 2011 | B2 |
8031544 | Kim et al. | Oct 2011 | B2 |
8044464 | Yamazaki et al. | Oct 2011 | B2 |
8129256 | Farooq et al. | Mar 2012 | B2 |
8158515 | Farooq et al. | Apr 2012 | B2 |
8203187 | Lung et al. | Jun 2012 | B2 |
8208279 | Lue | Jun 2012 | B2 |
20010000005 | Forrest et al. | Mar 2001 | A1 |
20010014391 | Forrest et al. | Aug 2001 | A1 |
20020024140 | Nakajima et al. | Feb 2002 | A1 |
20020025604 | Tiwari | Feb 2002 | A1 |
20020081823 | Cheung et al. | Jun 2002 | A1 |
20020141233 | Hosotani et al. | Oct 2002 | A1 |
20020153243 | Forrest et al. | Oct 2002 | A1 |
20020190232 | Chason | Dec 2002 | A1 |
20020199110 | Kean | Dec 2002 | A1 |
20030015713 | Yoo | Jan 2003 | A1 |
20030059999 | Gonzalez | Mar 2003 | A1 |
20030060034 | Beyne et al. | Mar 2003 | A1 |
20030067043 | Zhang | Apr 2003 | A1 |
20030102079 | Kalvesten et al. | Jun 2003 | A1 |
20030113963 | Wurzer | Jun 2003 | A1 |
20030119279 | Enquist | Jun 2003 | A1 |
20030139011 | Cleeves et al. | Jul 2003 | A1 |
20030157748 | Kim et al. | Aug 2003 | A1 |
20030213967 | Forrest et al. | Nov 2003 | A1 |
20030224582 | Shimoda et al. | Dec 2003 | A1 |
20040014299 | Moriceau et al. | Jan 2004 | A1 |
20040033676 | Coronel et al. | Feb 2004 | A1 |
20040036126 | Chau et al. | Feb 2004 | A1 |
20040047539 | Okubora et al. | Mar 2004 | A1 |
20040061176 | Takafuji et al. | Apr 2004 | A1 |
20040113207 | Hsu et al. | Jun 2004 | A1 |
20040152272 | Fladre et al. | Aug 2004 | A1 |
20040155301 | Zhang | Aug 2004 | A1 |
20040156233 | Bhattacharyya | Aug 2004 | A1 |
20040166649 | Bressot et al. | Aug 2004 | A1 |
20040178819 | New | Sep 2004 | A1 |
20040259312 | Schlosser et al. | Dec 2004 | A1 |
20040262635 | Lee | Dec 2004 | A1 |
20040262772 | Ramanathan et al. | Dec 2004 | A1 |
20050003592 | Jones | Jan 2005 | A1 |
20050023656 | Leedy | Feb 2005 | A1 |
20050067620 | Chan et al. | Mar 2005 | A1 |
20050067625 | Hata | Mar 2005 | A1 |
20050073060 | Datta et al. | Apr 2005 | A1 |
20050098822 | Mathew | May 2005 | A1 |
20050110041 | Boutros et al. | May 2005 | A1 |
20050121676 | Fried et al. | Jun 2005 | A1 |
20050130429 | Rayssac et al. | Jun 2005 | A1 |
20050148137 | Brask et al. | Jul 2005 | A1 |
20050225237 | Winters | Oct 2005 | A1 |
20050280061 | Lee | Dec 2005 | A1 |
20050280090 | Anderson et al. | Dec 2005 | A1 |
20050280154 | Lee | Dec 2005 | A1 |
20050280155 | Lee | Dec 2005 | A1 |
20050280156 | Lee | Dec 2005 | A1 |
20050282019 | Fukushima et al. | Dec 2005 | A1 |
20060014331 | Tang et al. | Jan 2006 | A1 |
20060024923 | Sarma et al. | Feb 2006 | A1 |
20060033110 | Alam et al. | Feb 2006 | A1 |
20060033124 | Or-Bach et al. | Feb 2006 | A1 |
20060067122 | Verhoeven | Mar 2006 | A1 |
20060071322 | Kitamura | Apr 2006 | A1 |
20060071332 | Speers | Apr 2006 | A1 |
20060083280 | Tauzin et al. | Apr 2006 | A1 |
20060113522 | Lee et al. | Jun 2006 | A1 |
20060121690 | Pogge et al. | Jun 2006 | A1 |
20060179417 | Madurawe | Aug 2006 | A1 |
20060181202 | Liao et al. | Aug 2006 | A1 |
20060189095 | Ghyselen et al. | Aug 2006 | A1 |
20060194401 | Hu et al. | Aug 2006 | A1 |
20060195729 | Huppenthal et al. | Aug 2006 | A1 |
20060207087 | Jafri et al. | Sep 2006 | A1 |
20060249859 | Eiles et al. | Nov 2006 | A1 |
20060275962 | Lee | Dec 2006 | A1 |
20070014508 | Chen et al. | Jan 2007 | A1 |
20070035329 | Madurawe | Feb 2007 | A1 |
20070063259 | Derderian et al. | Mar 2007 | A1 |
20070072391 | Pocas et al. | Mar 2007 | A1 |
20070076509 | Zhang | Apr 2007 | A1 |
20070077694 | Lee | Apr 2007 | A1 |
20070077743 | Rao et al. | Apr 2007 | A1 |
20070090416 | Doyle et al. | Apr 2007 | A1 |
20070102737 | Kashiwabara et al. | May 2007 | A1 |
20070108523 | Ogawa et al. | May 2007 | A1 |
20070111386 | Kim et al. | May 2007 | A1 |
20070111406 | Joshi et al. | May 2007 | A1 |
20070132049 | Stipe | Jun 2007 | A1 |
20070132369 | Forrest et al. | Jun 2007 | A1 |
20070135013 | Faris | Jun 2007 | A1 |
20070158659 | Bensce | Jul 2007 | A1 |
20070187775 | Okhonin et al. | Aug 2007 | A1 |
20070190746 | Ito et al. | Aug 2007 | A1 |
20070194453 | Chakraborty et al. | Aug 2007 | A1 |
20070210336 | Madurawe | Sep 2007 | A1 |
20070228383 | Bernstein et al. | Oct 2007 | A1 |
20070252203 | Zhu et al. | Nov 2007 | A1 |
20070262457 | Lin | Nov 2007 | A1 |
20070275520 | Suzuki | Nov 2007 | A1 |
20070281439 | Bedell et al. | Dec 2007 | A1 |
20070283298 | Bernstein et al. | Dec 2007 | A1 |
20070287224 | Alam et al. | Dec 2007 | A1 |
20080032463 | Lee | Feb 2008 | A1 |
20080038902 | Lee | Feb 2008 | A1 |
20080048327 | Lee | Feb 2008 | A1 |
20080099780 | Tran | May 2008 | A1 |
20080124845 | Yu et al. | May 2008 | A1 |
20080128745 | Mastro et al. | Jun 2008 | A1 |
20080136455 | Diamant et al. | Jun 2008 | A1 |
20080160431 | Scott et al. | Jul 2008 | A1 |
20080160726 | Lim et al. | Jul 2008 | A1 |
20080179678 | Dyer et al. | Jul 2008 | A1 |
20080191312 | Oh et al. | Aug 2008 | A1 |
20080194068 | Temmler et al. | Aug 2008 | A1 |
20080203452 | Moon et al. | Aug 2008 | A1 |
20080213982 | Park et al. | Sep 2008 | A1 |
20080220558 | Zehavi et al. | Sep 2008 | A1 |
20080220565 | Hsu et al. | Sep 2008 | A1 |
20080224260 | Schmit et al. | Sep 2008 | A1 |
20080237591 | Leedy | Oct 2008 | A1 |
20080251862 | Fonash et al. | Oct 2008 | A1 |
20080254561 | Yoo | Oct 2008 | A2 |
20080254572 | Leedy | Oct 2008 | A1 |
20080261378 | Yao et al. | Oct 2008 | A1 |
20080272492 | Tsang | Nov 2008 | A1 |
20080277778 | Furman et al. | Nov 2008 | A1 |
20080283875 | Mukasa et al. | Nov 2008 | A1 |
20080284611 | Leedy | Nov 2008 | A1 |
20080296681 | Georgakos et al. | Dec 2008 | A1 |
20080315351 | Kakehata | Dec 2008 | A1 |
20090001469 | Yoshida et al. | Jan 2009 | A1 |
20090001504 | Takei et al. | Jan 2009 | A1 |
20090016716 | Ishida | Jan 2009 | A1 |
20090032899 | Irie | Feb 2009 | A1 |
20090039918 | Madurawe | Feb 2009 | A1 |
20090052827 | Durfee et al. | Feb 2009 | A1 |
20090055789 | McIlrath | Feb 2009 | A1 |
20090061572 | Hareland et al. | Mar 2009 | A1 |
20090064058 | McIlrath | Mar 2009 | A1 |
20090066365 | Solomon | Mar 2009 | A1 |
20090066366 | Solomon | Mar 2009 | A1 |
20090070727 | Solomon | Mar 2009 | A1 |
20090079000 | Yamasaki et al. | Mar 2009 | A1 |
20090081848 | Erokhin | Mar 2009 | A1 |
20090087759 | Matsumoto et al. | Apr 2009 | A1 |
20090096009 | Dong et al. | Apr 2009 | A1 |
20090096024 | Shingu et al. | Apr 2009 | A1 |
20090115042 | Koyanagi | May 2009 | A1 |
20090128189 | Madurawe et al. | May 2009 | A1 |
20090134397 | Yokoi et al. | May 2009 | A1 |
20090144669 | Bose et al. | Jun 2009 | A1 |
20090144678 | Bose et al. | Jun 2009 | A1 |
20090146172 | Pumyea | Jun 2009 | A1 |
20090159870 | Lin et al. | Jun 2009 | A1 |
20090160482 | Karp et al. | Jun 2009 | A1 |
20090161401 | Bilger et al. | Jun 2009 | A1 |
20090179268 | Abou-Khalil et al. | Jul 2009 | A1 |
20090194152 | Liu et al. | Aug 2009 | A1 |
20090194768 | Leedy | Aug 2009 | A1 |
20090204933 | Rezgui | Aug 2009 | A1 |
20090212317 | Kolodin et al. | Aug 2009 | A1 |
20090218627 | Zhu | Sep 2009 | A1 |
20090221110 | Lee et al. | Sep 2009 | A1 |
20090224364 | Oh et al. | Sep 2009 | A1 |
20090234331 | Langereis et al. | Sep 2009 | A1 |
20090242893 | Tomiyasu | Oct 2009 | A1 |
20090250686 | Sato et al. | Oct 2009 | A1 |
20090262583 | Lue | Oct 2009 | A1 |
20090263942 | Ohnuma et al. | Oct 2009 | A1 |
20090267233 | Lee | Oct 2009 | A1 |
20090272989 | Shum et al. | Nov 2009 | A1 |
20090290434 | Kurjanowicz | Nov 2009 | A1 |
20090302394 | Fujita | Dec 2009 | A1 |
20090309152 | Knoefler et al. | Dec 2009 | A1 |
20090321830 | Maly | Dec 2009 | A1 |
20090321948 | Wang et al. | Dec 2009 | A1 |
20090325343 | Lee | Dec 2009 | A1 |
20100001282 | Mieno | Jan 2010 | A1 |
20100025766 | Nuttinck et al. | Feb 2010 | A1 |
20100031217 | Sinha et al. | Feb 2010 | A1 |
20100038743 | Lee | Feb 2010 | A1 |
20100052134 | Werner et al. | Mar 2010 | A1 |
20100058580 | Yazdani | Mar 2010 | A1 |
20100081232 | Furman et al. | Apr 2010 | A1 |
20100112753 | Lee | May 2010 | A1 |
20100112810 | Lee et al. | May 2010 | A1 |
20100123202 | Hofmann | May 2010 | A1 |
20100133695 | Lee | Jun 2010 | A1 |
20100133704 | Marimuthu et al. | Jun 2010 | A1 |
20100190334 | Lee | Jul 2010 | A1 |
20100193884 | Park et al. | Aug 2010 | A1 |
20100193964 | Farooq et al. | Aug 2010 | A1 |
20100276662 | Colinge | Nov 2010 | A1 |
20100307572 | Bedell et al. | Dec 2010 | A1 |
20100308211 | Cho et al. | Dec 2010 | A1 |
20110001172 | Lee | Jan 2011 | A1 |
20110003438 | Lee | Jan 2011 | A1 |
20110024724 | Frolov et al. | Feb 2011 | A1 |
20110026263 | Xu | Feb 2011 | A1 |
20110037052 | Schmidt et al. | Feb 2011 | A1 |
20110042696 | Smith et al. | Feb 2011 | A1 |
20110050125 | Medendorp et al. | Mar 2011 | A1 |
20110053332 | Lee | Mar 2011 | A1 |
20110101537 | Barth et al. | May 2011 | A1 |
20110143506 | Lee | Jun 2011 | A1 |
20110147791 | Norman et al. | Jun 2011 | A1 |
20110221022 | Toda | Sep 2011 | A1 |
20110241082 | Bernstein et al. | Oct 2011 | A1 |
20110284992 | Zhu | Nov 2011 | A1 |
20110286283 | Lung et al. | Nov 2011 | A1 |
20120001184 | Ha et al. | Jan 2012 | A1 |
20120003815 | Lee | Jan 2012 | A1 |
20120074466 | Setiadi et al. | Mar 2012 | A1 |
20120181654 | Lue | Jul 2012 | A1 |
20120182801 | Lue | Jul 2012 | A1 |
Number | Date | Country |
---|---|---|
1267594 | Dec 2002 | EP |
1909311 | Apr 2008 | EP |
PCTUS2008063483 | May 2008 | WO |
Entry |
---|
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5. |
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003. |
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-arraytransistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005. |
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447. |
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366. |
Demeester, P., et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8. |
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334. |
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000). |
Yonehara, T., et al., “Eltran®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4. |
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720. |
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International , pp. 297-300, Dec. 7-9, 2009. |
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419. |
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol., no., pp. 14-15, Jun. 12-14, 2007. |
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, No. 4.5, pp. 449-464, Jul. 2008. |
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132. |
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003). |
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189. |
Auth, C., et al., “45nm High-k + Metal Gate Strain-Enhanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129. |
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4. |
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247. |
Ragnarsson, L., et al., “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009. |
Sen, P. & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185. |
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169. |
Froment, B., et al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219. |
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr—024377. |
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001. |
DiCioccio, L., et. al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113. |
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International , pp. 1-4, Dec. 11-13, 2006. |
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683. |
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007. |
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189. |
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009. |
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (DFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640. |
Celler, G.K., et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9. |
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17. |
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to 053511-2, 2009. |
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, 13-15, Dec. 2004. |
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005. |
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005. |
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33. |
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007. |
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190. |
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News. |
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007). |
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74. |
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64. |
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004. |
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6. |
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12. |
Yamada, M., et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41. |
Guo, X., et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92. |
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635. |
Suntharalingam, V., et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1. |
Coudrain, P., et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4. |
Takafuji, Y., et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4. |
Flamand, G., et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7. |
Zahler, J.M., et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042. |
Wierer, J.J., et al., “High-power AlGaInN flip-chip light-emitting diodes, ” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22. |
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002. |
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9. |
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>. |
Ohsawa, et al.,“Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804. |
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15. |
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125. |
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31. |
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3. |
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428. |
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576. |
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150. |
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52. |
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4. |
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913. |
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663. |
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348. |
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59. |
Moon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5. |
Franzon, P.D., et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673. |
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4. |
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71. |
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337. |
Dong, C., et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447. |
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages. |
Bakir M., et al., “3D Device-Stacking Technology for Memory,” pp. 407-410. |
Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591. |
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2. |
Srivastava, P., et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852. |
Weis, M., et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009. |
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1. |
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28. |
Spangler, L.J., et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4. |
Luo, Z.S., et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10. |
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726. |
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150. |
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403. |
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277. |
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223. |
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004. |
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12. |
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230. |
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10. |
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299. |
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618. |
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54 (11), Nov. 2005, pp. 1329-1344. |
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14. |
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207. |
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18. |
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670. |
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663. |
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297. |
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763. |
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459. |
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22. |
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308. |
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings Date, pp. 1338-1343. |
McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96. |
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3). |
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175. |
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378. |
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558. |
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3. |
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493. |
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215. |
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517. |
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45. |
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647. |
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902. |
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings. |
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009. |
Kim, G.-S., et al., “A 25-mV-sensitivity 2-Gb/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713. |
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107. |
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103. |
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452. |
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220. |
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335. |
Bobba, S., et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4. |
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4. |
Lee, Y.-J., et. al, “3D 65nm CMOS with 320° C. Microwave Dopant Activation”, IEDM 2010, pp. 1-4. |
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58. |
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C. by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236. |
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292. |
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24. |
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3(Stacked Single-crystal Si) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268. |
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003. |
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112. |
Meindl, J. D., “Beyond Moore'S Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24. |
Radu, I., et al., “Recent Developments of Cu-Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010. |
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4. |
Jung, S.-M., et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node”, IEDM 2006, Dec. 11-13, 2006. |
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003. |
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22. |
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3(Stacked Single-crystal Si) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221. |
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415. |
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106. |
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410. |
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for NANO-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong. |
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010. |
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220. |
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17. |
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008. |
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203. |
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894. |
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005. |
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation. |
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415. |
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660. |
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45. |
Kim, K., “From the Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9. |
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg. |
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010. |
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510. |
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122. |
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764. |
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006. |
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030. |
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco. |
Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501. |
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008). |
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS Mantech Conference, May 14-17, 2007 Austin, Texas, pp. 33-36. |
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4. |
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th InternatioNal Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467. |
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003. |
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22. |
Borland, J.O., “Low Temperature Activation of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88. |
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054. |
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs. |
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332. |
Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16. |
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567. |
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733. |
Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109. |
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25. |
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223. |
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460. |
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268. |
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers. |
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169. |
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159. |
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006. |
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006. |
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006. |
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226. |
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All-Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938. |
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12. |
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49. |
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100. |
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510. |
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040. |
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51. |
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”, www.electroiq.com , Aug. 18, 2010, last accessed Aug. 18, 2010. |
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127. |
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134. |
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963. |
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006). |
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276. |
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263. |
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986. |
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (Micro 2007), IEEE Computer Society. |
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96. |
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986. |
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945. |
Kunio, T., et al., “Three Dimensional ICs, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840. |
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088. |
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323. |
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408. |
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283. |
Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994). |
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628. |
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014. |
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45. |
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6 2005, San Francisco. |
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007). |
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003). |
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998). |
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4. |
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159. |
Batude, P., et al., “Advances, Challenges and Opportunities in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154. |
Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70. |
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774. |
En, W. G., et al., “The Genesis Process: A New SOI wafer fabrication method”, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164. |
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87. |
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA. |
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010. |
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005. |
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012. |
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24. |
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249. |
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436. |
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002. |
Ahn, J., et al., “High-quality MOSFET's with ultrathin Lpcvd gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992. |
Number | Date | Country | |
---|---|---|---|
20120322203 A1 | Dec 2012 | US |
Number | Date | Country | |
---|---|---|---|
Parent | 13098997 | May 2011 | US |
Child | 13593620 | US | |
Parent | 12423214 | Apr 2009 | US |
Child | 13098997 | US | |
Parent | 12577532 | Oct 2009 | US |
Child | 12423214 | US | |
Parent | 12706520 | Feb 2010 | US |
Child | 12577532 | US | |
Parent | 12847911 | Jul 2010 | US |
Child | 12706520 | US | |
Parent | 12859665 | Aug 2010 | US |
Child | 12847911 | US | |
Parent | 12894252 | Sep 2010 | US |
Child | 12859665 | US | |
Parent | 12900379 | Oct 2010 | US |
Child | 12894252 | US | |
Parent | 12904108 | Oct 2010 | US |
Child | 12900379 | US |