Selective deposition of etch-stop layer for enhanced patterning

Information

  • Patent Grant
  • 11869770
  • Patent Number
    11,869,770
  • Date Filed
    Thursday, July 29, 2021
    2 years ago
  • Date Issued
    Tuesday, January 9, 2024
    4 months ago
Abstract
Methods, systems, and computer programs are presented for selective deposition of etch-stop layers for enhanced patterning during semiconductor manufacturing. One method includes an operation for adding a photo-resist material (M2) on top of a base material (M1) of a substrate, M2 defining a pattern for etching M1 in areas where M2 is not present above M1. The method further includes operations for conformally capping the substrate with an oxide material (M3) after adding M2, and for gap filling the substrate with filling material M4 after the conformally capping. Further, a stop-etch material (M5) is selectively grown on exposed surfaces of M3 and not on surfaces of M4 after the gap filling. Additionally, the method includes operations for removing M4 from the substrate after selectively growing M5, and for etching the substrate after removing M4 to transfer the pattern into M1. M5 adds etching protection to enable deeper etching into M1.
Description
TECHNICAL FIELD

The subject matter disclosed herein generally relates to methods, systems, and programs for semiconductor etching in a semiconductor manufacturing apparatus. In some examples, deposition control and semiconductor etching is provided during operation of the semiconductor manufacturing apparatus.


BACKGROUND

Semiconductor manufacturing has seen a reduction in critical dimension (CD) and an exponential increase in the cost of multiple patterning. The semiconductor manufacturing industry is transitioning to extreme ultraviolet lithography (EUV) patterning to enable smaller CD features obtained with fewer processing steps. In many cases, the EUV photo resist (PR) material is patterned onto a silicon-based material with an aspect ratio of about 2:1.


During the EUV process, an undesired effect may occur when non-uniformities lead to aspect ratio variation across the wafer. Another problem may arise during semiconductor manufacturing with EUV when the pattern transfer to the under layers is limited to the ability of the EUV PRs to withstand the etch processes defining the pattern. As a result, the EUV PR is quickly consumed and cannot be effectively used to define deep patterns within the under layer.


Another problem is that very thick EUV PRs are required to successfully transfer the pattern successfully to the under layer, but this may lead to line bending (e.g., collapse of the EUV PR lines) or poor selectivity (loss of CD) as the EUV may not penetrate all the way to the bottom of the line.


The background description provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of tiling, are neither expressly nor impliedly admitted as prior art against the present disclosure.


SUMMARY

Example methods, systems, and computer programs are directed to selective deposition of etch-stop layers for enhanced patterning during semiconductor manufacturing. In some implementations, the semiconductor manufacturing process includes extreme ultraviolet lithography (EUV) photo resist (PR) material, but the same principles may be utilized with other patterning techniques. Examples merely typify possible variations.


In some implementations, an etch stop layer, in the form of metal oxides (e.g., zirconium oxide ZrOx, aluminum oxide AlOx, hafnium oxide WOO is deposited on a EUV PR pattern to protect the pattern during etch steps. Further, other examples of hardmasks that can be deposited selectively on SiO2, with regard to a CHx surface of carbon-based gapfill material, include aluminum nitrides AlN, aluminum oxynitride AlON, yttrium oxide Y2O3, yttrium nitride YNx, and yttrium oxynitrides YOxNy. The etch stop layer enables the transferring of the pattern much deeper into the under layer without sacrificing the critical dimension (CD) or causing additional concerns with line bending seen, for example, when using slender PR lines.


In one implementation, a method is provided. The method includes an operation for adding a photo-resist material (M2) on top of a base material (M1) of a substrate, with M2 defining a pattern for etching M1 in areas where M2 is not present above M1. The method further includes operations for conformally capping the substrate with an oxide material (M3) after adding M2, and for gap filling the substrate with filling material M4 after the conformal capping operation. Further, a stop-etch material (M5) is selectively grown on exposed surfaces of M3 and not on surfaces of M4 after the gap filling. Additionally, the method includes operations for removing M4 from the substrate after selectively growing M5, and for etching the substrate after removing M4 to transfer the pattern into M1. M5 adds etching protection to enable deeper etching into M1.


In one example, etching the substrate after removing M4 further comprises etching exposed surfaces of M3 in the substrate, and continuing etching the substrate to transfer the pattern into M1.


In one example, M2 is a carbon-based material.


In one example, M3 is one of silicon dioxide or aluminum oxide.


In one example, M4 is a carbon-based material sacrificial material.


In one example, M5 is a metal oxide.


In one example, conformally capping the substrate with M3 further comprises performing a low-damage plasma enhanced atomic layer deposition.


In one example, gap filling the substrate with filling material M4 further comprises alternating depositing M4 and etching M4 to fill gaps in the substrate.


In one example, selectively growing M5 further comprises utilizing an atomic-layer-deposition process to deposit M5.


In one example, removing M4 from the substrate further comprises performing plasma ashing to remove M4.


In another implementation, a semiconductor manufacturing apparatus comprises a processing chamber and a controller for controlling processing of a substrate within the processing chamber. The controller causes the processing chamber to perform operations comprising: adding a photo-resist material (M2) on top of a base material (M1) of a substrate, with M2 defining a pattern for etching M1 in areas where M2 is not present above M1; conformally capping the substrate with an oxide material (M3) after adding M2; gap filling the substrate with filling material M4 after the conformal capping operation; selectively growing stop-etch material (M5) on exposed surfaces of M3 and not on surfaces of M4 after the gap filling: removing M4 from the substrate after selectively growing M5; and etching the substrate after removing M4 to transfer the pattern into M1, wherein M5 adds etching protection to enable deeper etching into M1 than when utilizing M2 without M5.


In one example, etching the substrate after removing M4 further comprises etching exposed surfaces of M3 in the substrate, and continuing etching the substrate to transfer the pattern into M1.


In one example, M2 is a carbon-based material.


In one example, M3 is one of silicon dioxide or aluminum oxide.


In one example, M4 is carbon.


In one example, M5 is a metal oxide.


In one example, conformally capping the substrate with M3 further comprises performing a low-damage plasma enhanced atomic layer deposition.


In one example, gap filling the substrate with filling material M4 further comprises alternating depositing M4 and etching M4 to fill gaps in the substrate.


In one example, selectively growing M5 further comprises utilizing an atomic-layer-deposition process to deposit M5.


In one example, removing M4 from the substrate further comprises performing plasma oiling to remove M4.





BRIEF DESCRIPTION OF THE DRAWINGS

Various ones of the appended drawings merely illustrate example embodiments of the present disclosure and cannot be considered as limiting its scope.



FIG. 1 is an etching chamber, according to some example embodiments.



FIGS. 2-10 illustrate a sequence of operations for etching a substrate in a semiconductor processing apparatus, according to some example embodiments.



FIG. 11 is a flowchart of a method for processing a substrate in a semiconductor processing apparatus, according to some example embodiments.



FIGS. 12-14 illustrate a second sequence of operations for etching the substrate in a semiconductor processing apparatus, according to some example embodiments.



FIG. 15 is a flowchart of a second method for processing a substrate in a semiconductor processing apparatus, according to some example embodiments.



FIGS. 16-20 illustrate a third sequence for etching a substrate in a semiconductor processing apparatus, according to some example embodiments.



FIG. 21 is a flowchart of a third method for processing a substrate in a semiconductor processing apparatus, according to some example embodiments.



FIG. 22 is a block diagram illustrating an example of a machine upon which one or more example embodiments may be implemented, or by which one or more example embodiments may be controlled.





DETAILED DESCRIPTION

In some examples, selective deposition of etch-stop layers for enhanced patterning during semiconductor manufacturing is presented. Unless explicitly stated otherwise, components and functions are optional and may be combined or subdivided, and operations may vary in sequence or be combined or subdivided. In the following description, for purposes of explanation, numerous specific details are set forth to provide a thorough understanding of example embodiments. It will be evident to one skilled in the art, however, that the present subject mailer may be practiced without these specific details.


The presented embodiments can provide several benefits. First, there is the ability to extend the pattern deeper into the under layer when using the etch-stop layer, while using the same EUV PR thickness as in previous implementations. This means that no additional changes are needed for existing EUV processes. Second, a combination of process steps (including adding conformal silicon oxide (SiOx) and gap filling the uneven surfaces on the substrate surface) are used to enable selective growth of the etch-stop layer onto the EUV PR.


Third, there is no (or very minimal) line bending or CD loss when extending the pattern deeper into the under layer. Fourth, the presented implementations may be applied to any structure substrates that need selective growth of etch-stop layers on etched lines as compared to the open space areas for enhanced patterning. These are just some of the benefits that may be obtained using the described methods. Other benefits are possible.



FIG. 1 shows an etching chamber 100, according to one embodiment. Exciting an electric field between two electrodes is one of the methods to obtain radiofrequency (RF) gas discharge in an etching chamber. When an oscillating voltage is applied between the electrodes, the discharge obtained is referred to as a Capacitive Coupled Plasma (CCP) discharge.


Plasma 102 may be created utilizing stable feedstock gases to obtain a wide variety of chemically reactive by-products created by the dissociation of the various molecules caused by electron-neutral collisions. The chemical aspect of etching involves the reaction of the neutral gas molecules and their dissociated by-products with the molecules of the to-be-etched surface, and producing volatile molecules, which can be pumped away. When plasma is created, the positive ions are accelerated from the plasma across a space-charge sheath separating the plasma from chamber walls to strike the wafer surface with enough energy to remove material from the wafer surface. This is known as ion bombardment or ion sputtering. Some industrial plasmas, however, do not produce ions with enough energy to efficiently etch a surface by purely physical means.


A controller 116 manages the operation of the chamber 100 by controlling the different elements in the chamber, such as RF generator 118, gas sources 122, and gas pump 120. In one embodiment, fluorocarbon gases, such as CF4 and C—C4F8, are used in a dielectric etch process for their anisotropic and selective etching capabilities, but the principles described herein can be applied to other plasma-creating gases. The fluorocarbon gases are readily dissociated into chemically reactive by-products that include smaller molecular and atomic radicals. These chemically reactive by-products etch away the dielectric material, which in one embodiment can be SiO2 or SiOCH for low-k devices.


The chamber 100 illustrates a processing chamber with a top electrode 104 and a bottom electrode 108. The top electrode 104 may be grounded or coupled to an RF generator (not shown), and the bottom electrode 108 is coupled to RF generator 118 via matching network 114. RF generator 118 provides RF power in one, two, or three different RF frequencies. According to the desired configuration of the chamber 100 for a particular operation, at least one of the three RF frequencies may be turned on or off. In the embodiment shown in FIG. 1, RF generator 118 provides 2 MHz, 27 MHz, and 60 MHz frequencies, but other frequencies are also possible.


The chamber 100 includes a gas showerhead on the top electrode 104 to input gas into the chamber 100 provided by gas source(s) 122, and a perforated confinement ring 112 that allows the gas to be pumped out of the chamber 100 by gas pump 120. In some example embodiments, the gas pump 120 is a turbomolecular pump, but other type of gas pumps may be utilized.


When substrate 106 is present in the chamber 100, silicon focus ring 110 is situated next to the substrate 106 such that there is a uniform RF field at the bottom surface of the plasma 102 for uniform etching on the surface of the substrate 106. The embodiment of FIG. 1 shows a triode reactor configuration where the top electrode 104 is surrounded by a symmetric RF ground electrode 124. Insulator 126 is a dielectric that isolates ground electrode 124 from top electrode 104.


Each frequency may be selected for a specific purpose in the wafer manufacturing process. In the example of FIG. 1, with RF powers provided at 2 MHz, 27 MHz, and 60 MHz, the 2 MHz RF power provides ion energy control, and the 27 MHz and 60 MHz power provide control of the plasma density and the dissociation patterns of the chemistry. This configuration, where each RF power may be turned on or off, enables certain processes that use ultra-low ion energy on the substrates or wafers, and certain processes (e.g., soft etch for low-k materials) where the ion energy has to be low (under 100 or 200 eV).


In another embodiment, a 60 MHz RF power is used on the top electrode 104 to get ultra-low energies and very high density. This configuration allows chamber cleaning with high density plasma when the substrate is not in the chamber 100, while minimizing sputtering on the electro static chuck (ESC) surface. The ESC surface is exposed when the substrate is not present, and any ion energy on the surface should be avoided, which is why the bottom 2 MHz and 27 MHz power supplies may be off during cleaning.



FIG. 2-10 illustrate a sequence of operations for etching a substrate in a semiconductor processing apparatus, according to some example embodiments. This sequence of operations illustrates how to add an etch-stop layer to provide additional protection from etching operations, allowing transfer of the pattern lower down into the underlying layers, further than by using EUV PR alone.



FIG. 2 illustrates operation 202, Where a PR material (M2206), is patterned onto a base material (M1204) resulting in structure 200. In some example embodiments, the M1204 material is a silicon oxide or a silicon nitride (SixNy) type of material, but other materials may be used. In some embodiments, the aspect ratio for M1204 is about 2:1, plus or minus 10%, but other aspect ratios may be used. The aspect ratio defines the relationship between the different dimensions (in this case, height of a feature against the width of the feature).


M2206 may be a carbon-based material with some embedded dopers. EUV, also referred to as EUVL, is a lithography technology that employs an extreme ultraviolet wavelength (e.g., 13.5 nm). In some implementations, the EUV tool is a laser-driven tin (Sn) plasma light source, where reflective optics comprise multilayer mirrors, contained within a hydrogen gas ambient.


The resulting structure 200 has some dense areas (e.g., left side above M1204) and some isolated areas (e.g., center area above M1204). The goal of the etching process is to transfer a pattern onto M1204 by etching down the areas of M1204 not covered by M2206. In some cases, EUV is used in the process, but EUV PRs are susceptible to damage because they tend to lack stability for withstanding strong etches.


With the structure 200, to pattern M1204 with PR M2206, it is possible to etch into M1204, but not very deeply. Some applications require deeper etches that may etch into more than one layer, and PR M2206 is not adequate for this applications as M2206 may wear off and stop protecting M1204.


The operations described below with reference to FIGS. 3-10 show how to add protection to M2206 to allow for deeper etching into M1204. This protection includes adding a stop-etch layer above M2206 to allow deeper etching without completely wearing off M2206. The stop-etch layer is a layer of material placed above the PR M2206 that protects M2206 during etching. Although the term “stop” is used, a person skilled in the art would appreciate that the stop-etch layer may not completely avoid some of the etching on M2206, but the stop-etch layer provides enough protection to M2206 to avoid completely wearing off M2206 during deep etching. That is, the stop-etch layer provides, at least, enough protection for M2206 to avoid etching M1204 material under PR M2206.


One approach might be simply to add the stop-etch layer above M2206, but that would also mean placing the stop-etch layer above uncovered areas of M1204, which would not be effective. The goal is to place the stop-etch layer above M2206 without adding the stop-etch layer directly on top of M1204.



FIG. 3 illustrates operation 302 where a material M3304 is deposited to conformally cap structure 200 with material M3304, resulting in structure 300. When structure 200 is conformally capped with M3304, the angles and proportions are preserved; that is, M3 forms a uniform layer above both M1204 and M2206.


The purpose of M3304 is to provide a surface for the etch-stop layer to grow onto. In some example embodiments, M3304 is an oxide, such as an atomic layer deposition (ALD) oxide. In some example embodiments, M3304 is silicon dioxide SiO2 or aluminum oxide Al2O3, but other materials may also be used.


In some example embodiments, operation 302, for placing M3304, is performed with a low-damage plasma enhanced atomic layer deposition (PEALD). ALD is a vapor phase thin film deposition technique, which is typically carried out in a heated reactor maintained at a sub-atmospheric pressure. Substrates to be coated with an ALD film are placed in the reactor and allowed to equilibrate with the reactor temperature before the ALD process is started.


PEALD is an energy-enhanced ALD method where the surface of the substrate is exposed to the species generated by a plasma during the reactant step. Typical plasmas used during PEALD are those generated in O2, N2, and H2 reactant gases or combinations thereof. Such plasmas can replace ligand-exchange reactions typical of water H2O or ammonia NH3, and they can be employed to deposit metal oxides, metal nitrides, and metal films.



FIG. 4 illustrates operation 402 where open areas are filled with material M4404, resulting in structure 400. In some example embodiments, M4404, also referred to herein as filling material, is amorphous carbon, but other materials are also possible. After adding M4404, the top of the features of M3304 are exposed, while the other surfaces of the features are covered by M4404.


M4404 acts as a sacrificial material, as discussed in more detail below, to allow the stop-etch layer to grow on the top surfaces of M3304 but not on other surfaces. In some example embodiments, operation 402 is performed in an etching environment, where hydrogen is added in the process, and along with depositing, the process also etches the material (e.g., both etching and depositing are being performed). This way, it is possible to gap till the open areas. In the tight spaces, etching is only performed at the top but not at the bottom, so it is possible to gap fill.


The reason that M4404 is used is that this carbon-based filler allows to selectively grow the stop-etch layer on the areas where M4404 is not present. That is, the stop-etch layer grows on areas without M4404 exposed and will not grow on areas where M4404 is exposed. This way, it is possible to expose M3304 to the stop-etch layer.



FIG. 5 illustrates operation 502 where the stop-etch layer (material M5504) is grown above exposed M3304, resulting in structure 500. In some example embodiments, operation 502 is an ALD process to deposit M5504 selectively on M3304. In some example embodiments, the ALD includes alternating a first precursor with a second precursor, for a layered growth approach.


In some example embodiments, M5504 is a metal oxide (MOx) (e.g., zirconium oxide ZrOx, aluminum oxide AlOx, hafnium oxide HfOx) that will not grow on M4404 because of the carbon presence (e.g., M4404 may be CHx terminated). For example, M5504 may be aluminum oxide. However, M5504 will grow on M3304, which may be a SiOx surface OH terminated.



FIG. 6 illustrates operation 602 where M4404 is removed, resulting in structure 600. As described above, the purpose of gap filling with M4404 is to allow the selected growth of M5504. After the stop-etch layer M5504 has been added, M4404 may be removed.


In some example embodiments, M4404 may be removed by ashing, which is a straightforward operation because carbon is easy to remove. For example, a hydrogen plasma may be utilized to remove M4404.


Plasma ashing is a process for removing material from a substrate. Using a plasma source, a single-atom substance, known as a reactive species, is generated. Oxygen or fluorine are common reactive species. The reactive species combine with the material to be removed to form ash, which is removed with a vacuum pump.



FIG. 7 illustrates operation 702 where M3304 is removed on the exposed surfaces, resulting in structure 700. After M4404 has been removed, the etching process may continue to transfer the pattern defined by M2206 into M1204. In some example embodiments, operation 602 is performed via etching to remove M3304.


At this point, part of the top surface of M1204 is uncovered, while the pattern defined by M2206 has extra protection against etching because M2206 is covered with M5504. Deep etching of M1204 may now be performed, as described below.



FIG. 8 illustrates operation 802 for etching unexposed surfaces of M1204, resulting in structure 800. Etching is performed to transfer the pattern into M1204. As M1204 is being etched, M5504 is also being removed, until M5504 is completely removed, but the etching may continue because M2206 is still present.


Structure 800 shows the gradual etching into M1204 and the wearing off of M5504. Because M5504 is present, it is possible to go deeper into M1204.



FIG. 9 illustrates operation 902 where etching continues into M1204 while etching away M3304, resulting in structure 900. At the end of operation 902, M3304 has been etched away (not shown), or at least most of it, but M2206 still continues providing protection to M1204 to transfer the pattern and go deeper into M1204.



FIG. 10 illustrates operation 1002 where M5504 and M3304 have been removed and some of M2206 has also been etched away, resulting in structure 1000. At the end of operation 1002, the desired sections of M1204 have been etched away, while some of M2206 still remains, resulting in the desired CD being maintained.


As illustrated in structure 1000, some of the edges of M2206 have been rounded off due to etching. A person skilled in the art would readily appreciate that FIGS. 2-10 show an ideal representation of the materials, including perfect straight lines. However, during the different operations, depositions and etching may result in slight variations in the materials (e.g., thickness and uneven corners).


In summary, by gap filling with M4404, it is possible to selectively grow M5504 above M2206. M3304 allows M5504 to grow on a consistent surface.



FIG. 11 is a flowchart of a method 1100 for processing a substrate in a semiconductor processing apparatus, according to some example embodiments. While the various operations in this flowchart are presented and described sequentially, one of ordinary skill will appreciate that some or all of the operations may be executed in a different order, be combined or omitted, or be executed in parallel. FIG. 11 summarizes the process illustrated in FIGS. 2-10.


At operation 202, a pattern M2206 is created above material M1204, In some example embodiments, EUV is utilized to create the pattern, but other lithography methods may also be utilized.


From operation 202, the method flows to operation 302 where M2206 is conformally capped with M3304. At operation 402, gaps are filled with material M4404, while preserving the top surfaces of M3304 that are above the pattern defined by M2206.


From operation 402, the method flows to operation 502. Once M4404 has been added, it is possible to selectively grow M5504 on top of M3304 but not on top of M4404.


From operation 502, the method flows to operation 602. Once M4404 has served its purpose, enabling to selectively grow M5504, M4404 is removed.


From operation 602, the method flows to operation 702, where M3304 sections that are not protected by M5504 are etched away.


From operation 702, the method flows to operation 802, where etching of the base layer of M1202 begins. As M1202 is being etched away, the top surface of M5504 is also being etched away, but still provides protection to the pattern defined by M2206.


From operation 802, the method flows to operation 902, where etching on M1 continues while also etching away M3304.


From operation 902, the method flows to operation 1002. After M3304 has been etched away, the etching on M1204 continues, which means that the pattern of M2206 is also gradually being etched away. However, M2206 is not completely etched away, thereby guaranteeing that the pattern is transferred into M1204.



FIGS. 12-14 illustrate a second sequence of operations for etching the substrate in a semiconductor processing apparatus, according to some example embodiments. The second sequence also enables the use of EUV PR to transfer the pattern lower into the under layers, which would have not been possible with the EUV PR alone.


The second sequence also includes operations 202, 302, and 402, as illustrated above with reference to FIGS. 2-4. However, from operation 402, the second sequence flows from operation 402 to operation 1202 of FIG. 12.



FIG. 12 illustrates operation 1202 where M4404 is converted to material M4-21204, resulting in structure 1200. In some example embodiments, M4402 is a CHx surface that is converted to a CFx surface M4-21204. The CFx surface may offer more non-wetting surface for the desired chemistries than the CHx surface, thereby improving the selectivity of depositing M5504. In some example embodiments, M4402 is converted to M4-21204 by fluorinating M4402.


The fluorination of the carbon film (CHx) may be performed by a capacitively coupled plasma or a remote plasma assisted process that enable fluorinating the carbon surface.



FIG. 13 illustrates operation 1302 where the stop-etch layer (material M5504) is grown above exposed M3304, resulting in structure 1300. As in operation 502, the ALD process is utilized to deposit M5504 selectively on M3304, The metal oxide (MOx) will not grow onto the CFx terminated surface (M4-21204) enabling selective growth on the SiOx surface (M3304).



FIG. 14 illustrates operation 1402 where M4-21204 is removed, resulting in structure 1400, which is similar to structure 600 of FIG. 6. In some example embodiments, M4-21204 may be removed by ashing, which is a simple operation because carbon is easy to remove. For example, a hydrogen plasma may be utilized to remove M4-21204.


From operation 1402, the method flows to operation 702 of FIG. 7, and the remainder of the operations in the second sequence are the same as the operations following operation 702 in the first sequence.



FIG. 15 is a flowchart of a second method 1500 for processing a substrate in a semiconductor processing apparatus, according to some example embodiments. While the various operations in this flowchart are presented and described sequentially, one of ordinary skill will appreciate that some or all of the operations may be executed in a different order, be combined or omitted, or be executed in parallel.


Operations 202, 302, and 402 are the same as described above with reference to FIG. 11. At operation 1202, material M4404 is converted (e.g., by fluorinating) to a different type of material M4-21204.


From operation 1202, the method flows to operation 1302, where material M5504 is selectively grown on M3304, but not on M4-21204.


From operation 1302, the method flows to operation 1402, where material M4-21204 is removed, such as by ashing or other type of etching process.


From operation 1402, the method flows to Operation 702, and the reminder of the steps are the same as described above with reference to FIG. 11.



FIGS. 16-20 illustrate a third sequence for etching a substrate in a semiconductor processing apparatus, according to some example embodiments. The third sequence is a hybrid approach, where a new material M61604 is added on top of M3304, before gap filling with M4404, to improve selectivity when growing M5504.


The third sequence includes operations 202 and 302, as described above. From operation 302, the third sequence continues in operation 1602. FIG. 16 illustrates operation 1602 where M3304 is capped with a conformal layer of material M61604, resulting in structure 1600.


In some example embodiments, M61604 is a fluorocarbon CFx. In some example embodiments, operation 1602, for placing M61604, is performed with a low-damage plasma enhanced atomic layer deposition (PEALD).



FIG. 17 illustrates operation 1702 where open areas are filled with material M4404, resulting in structure 1700. Operation 1702 is similar to operation 402 described above with reference to FIG. 4, except for the presence of M61604 on the top surface.



FIG. 18 illustrates operation 1802 where top surfaces of M61604, associated with the pattern to be transferred, are removed to expose M3304 before growing M5504. The result is structure 1800. In some example embodiments, the top surfaces of ME 1604 are removed with a light etch operation to expose the oxide surface, but other removal operations are possible,



FIG. 19 illustrates operation 1902 where the stop-etch layer (material M5504) is grown above exposed M3304, resulting in structure 1900. The presence of ME 1604 improves selectivity when growing M5504 because M61604 provides a separation between M3304 and M4404; therefore, the selectivity is improved. The metal oxide may be deposited via by MOCVD (Metal Organic CVD), and the metal oxide will not grow onto the CFx or CFx surfaces. This will enable growth of MOx selectivity on SiOx surface, which may be —OH terminated.



FIG. 20 illustrates operation 2002 where M4404 and M61604 are removed, resulting in structure 2000. As described above, the purpose of gap filling with M4404 is to allow the selected growth of M5504. After the stop-etch layer M5504 has been added, M4404 and ME 1604 may be removed.


In some example embodiments, M4404 and M61604 may be removed by ashing, which is a simple operation because carbon is easy to remove. For example, a hydrogen plasma may be utilized to remove M4404.


After operation 2002, the third sequence continues at operation 702, as illustrated in FIG. 7, and the remainder of the operations are the same as in the first sequence described in FIG. 11.



FIG. 21 is a flowchart of a third method 2100 for processing a substrate in a semiconductor processing apparatus, according to some example embodiments. While the various operations in this flowchart are presented and described sequentially, one of ordinary skill will appreciate that some or all of the operations max be executed in a different order, be combined or omitted, or be executed in parallel.


Operations 202 and 302 are the same as described above with reference to FIG. 11. At operation 1602, a layer of material M61604 is conformally grown above M3304.


From operation 1602, the method flows to operation 1702, where open areas are gap filled with M4404. From operation 1702, the method flows to operation 1802, where the top surfaces of M4404 and M61604 are removed to expose M3304.


From operation 1802, the method flows to operation 1902, where M5504 is selectively grown on M3304. From operation 1902, the method flows to operation 2002, where M4404 and M61604 are removed.


From operation 2002, the method flows to operation 702, as described above with reference to FIG. 7, and the remainder of the operations are the same as described above with reference to FIG. 11.



FIG. 22 is a block diagram illustrating an example of a machine 2200 upon or by which one or more example process embodiments described herein may be implemented or controlled. In alternative embodiments, the machine 2200 may operate as a standalone device or may be connected (e.g., networked) to other machines. In a networked deployment, the machine 2200 may operate in the capacity of a server machine, a client machine, or both in server-client network environments. In an example, the machine 2200 may act as a peer machine in a peer-to-peer (P2P) (or other distributed) network environment. Further, while only a single machine 2200 is illustrated, the term “machine” shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein, such as via cloud computing, software as a service (SaaS), or other computer cluster configurations.


Examples, as described herein, may include, or may operate by, logic, a number of components, or mechanisms. Circuitry is a collection of circuits implemented in tangible entities that include hardware (e.g., simple circuits, gates, logic, etc.). Circuitry membership may be flexible over time and underlying hardware variability. Circuitries include members that may, alone or in combination, perform specified operations when operating. In an example, hardware of the circuitry may be immutably designed to carry out a specific operation (e.g., hardwired). In an example, the hardware of the circuitry may include variably connected physical components (e.g., execution units, transistors, simple circuits, etc.) including a computer-readable medium physically modified (e.g., magnetically, electrically, by moveable placement of invariant massed particles, etc.) to encode instructions of the specific operation. In connecting the physical components, the underlying electrical properties of a hardware constituent are changed (for example, from an insulator to a conductor or vice versa). The instructions enable embedded hardware (e.g., the execution units or a loading mechanism) to create members of the circuitry in hardware via the variable connections to carry out portions of the specific operation when in operation. Accordingly, the computer-readable medium is communicatively coupled to the other components of the circuitry when the device is operating. In an example, any of the physical components may be used in more than one member of more than one circuitry. For example, under operation, execution units may be used in a first circuit of a first circuitry at one point in time and reused by a second circuit in the first circuitry, or by a third circuit in a second circuitry, at a different time.


The machine (e.g., computer system) 2200 may include a hardware processor 2202 (e.g., a central processing unit (CPU), a hardware processor core, or any combination thereof), a graphics processing unit (GPU) 2203, a main memory 2204, and a static memory 2206, some or all of which may communicate with each other via an interlink (e.g., bus) 2208. The machine 2200 may further include a display device 2210, an alphanumeric input device 2212 (e.g., a keyboard), and a user interface (UI) navigation device 2214 (e.g., a mouse), In an example, the display device 2210, alphanumeric input device 2212, and LII navigation device 2214 may be a touch screen display. The machine 2200 may additionally include a mass storage device (e.g., drive unit) 2216, a signal generation device 2218 (e.g., a speaker), a network interface device 2220, and one or more sensors 2221, such as a Global Positioning System (GPS) sensor, compass, accelerometer, or other sensor. The machine 2200 may include an output controller 2228, such as a serial (e.g., universal serial bus (USB)), parallel, or other wired or wireless (e.g., infrared (IR), near field communication (NFC), etc.) connection to communicate with or control one or more peripheral devices a printer, card reader, etc.).


The mass storage device 2216 may include a machine-readable medium 2222 on which is stored one or more sets of data structures or instructions 2224 (e.g., software) embodying or utilized by any one or more of the techniques or functions described herein. The instructions 2224 may also reside, completely or at least partially, within the main memory 2204, within the static memory 2206, within the hardware processor 2202, or within the GPU 2203 during execution thereof by the machine 2200. In an example, one or any combination of the hardware processor 2202, the GPU 2203, the main memory 2204, the static memory 2206, or the mass storage device 2216 may constitute machine-readable media.


While the machine-readable medium 2222 is illustrated as a single medium, the term “machine-readable medium” may include a single medium, or multiple media. (e.g., a centralized or distributed database, and/or associated caches and servers) configured to store the one or more instructions 2224.


The term “machine-readable medium” may include any medium that is capable of storing, encoding, or carrying instructions 2224 for execution by the machine 2200 and that cause the machine 2200 to perform any one or more of the techniques of the present disclosure, or that is capable of storing, encoding, or carrying data structures used by or associated with such instructions 2224. Non-limiting machine-readable medium examples may include solid-state memories, and optical and magnetic media. In an example, a massed machine-readable medium comprises a machine-readable medium 2222 with a plurality of particles having invariant (e.g., rest) mass. Accordingly, massed machine-readable media are not transitory propagating signals. Specific examples of massed machine-readable media may include non-volatile memory, such as semiconductor memory devices (e.g., Electrically Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM)) and flash memory devices; magnetic disks, such as internal hard disks and removable disks; magneto-optical disks; and CD-ROM and DVD-ROM disks.


The instructions 2224 may further be transmitted or received over a communications network 2226 using a transmission medium via the network interface device 2220.


Throughout this specification, plural instances may implement components, operations, or structures described as a single instance. Although individual operations of one or more methods are illustrated and described as separate operations, one or more of the individual operations may be performed concurrently, and nothing requires that the operations be performed in the order illustrated. Structures and functionality presented as separate components in example configurations may be implemented as a combined structure or component. Similarly, structures and functionality presented as a single component may be implemented as separate components. These and other variations, modifications, additions, and improvements fall within the scope of the subject matter herein.


The embodiments illustrated herein are described in sufficient detail to enable those skilled in the art to practice the teachings disclosed, Other embodiments may be used and derived therefrom, such that structural and logical substitutions and changes may be made without departing from the scope of this disclosure. The Detailed Description, therefore, is not to be taken in a limiting sense, and the scope of various embodiments is defined only by the appended claims, along with the full range of equivalents to which such claims are entitled.


As used herein, the term “or” may be construed in either an inclusive or exclusive sense. Moreover, plural instances may be provided for resources, operations, or structures described herein as a single instance. Additionally, boundaries between various resources, operations, modules, engines, and data stores are somewhat arbitrary, and particular operations are illustrated in a context of specific illustrative configurations. Other allocations of functionality are envisioned and may fall within a scope of various embodiments of the present disclosure. In general, structures and functionality presented as separate resources in the example configurations may be implemented as a combined structure or resource. Similarly, structures and functionality presented as a single resource may be implemented as separate resources. These and other variations, modifications, additions, and improvements fall within a scope of embodiments of the present disclosure as represented by the appended claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims
  • 1. A method comprising: adding a photo-resist material (M2) on top of a base material (M1) of a substrate, M2 defining a pattern for etching M1 in areas where M2 is not present above M1;conformally capping the substrate with an oxide material (M3) after adding M2;depositing a layer of a conformal material (M6) after conformally capping the substrate;gap filling the substrate with filling material M4 after depositing M6;removing top surfaces of M6 from the substrate after the gap filling;selectively growing stop-etch material (M5) on exposed surfaces of M3;removing M4 from the substrate after selectively growing M5; andetching the substrate after removing M4 to transfer the pattern into M1.
  • 2. The method as recited in claim 1, wherein etching the substrate after removing M4 further comprises: etching exposed surfaces of M3 on the substrate; andcontinue etching the substrate to transfer the pattern into M1.
  • 3. The method as recited in claim 1, wherein depositing the layer of M6 includes performing a low-damage plasma enhanced atomic layer deposition (PEALD).
  • 4. The method as recited in claim 1, wherein removing M6 from the substrate after the gap filling includes performing a light etch operation to expose a surface of the oxide material.
  • 5. The method as recited in claim 1, wherein selectively growing M5 is performed by MOCVD (Metal Organic CVD).
  • 6. The method as recited in claim 1, wherein removing M4 from the substrate after selectively growing M5 includes removing by ashing.
  • 7. The method as recited in claim 1, wherein conformally capping the substrate with M3 further comprises: performing a low-damage plasma enhanced atomic layer deposition.
  • 8. The method as recited in claim 1, wherein M2 is a carbon-based material.
  • 9. The method as recited in claim 1, wherein M3 is one of silicon dioxide or aluminum oxide.
  • 10. The method as recited in claim 1, wherein M4 is a CHx surface.
  • 11. The method as recited in claim 1, wherein M6 is a fluorocarbon.
  • 12. The method as recited in claim 1, wherein M5 is a metal oxide or oxynitride.
  • 13. A semiconductor manufacturing apparatus comprising: a processing chamber; anda controller for controlling processing of a substrate within the processing chamber, wherein the controller causes the processing chamber to perform operations comprising:adding a photo-resist material (M2) on top of a base material (M1) of a substrate, M2 defining a pattern for etching M1 in areas where M2 is not present above M1;conformally capping the substrate with an oxide material (M3) after adding M2;depositing a layer of a conformal material (M6) after conformally capping the substrate;gap filling the substrate with filling material M4 after depositing M6;removing top surfaces of M6 from the substrate after the gap filling;selectively growing stop-etch material (M5) on exposed surfaces of M3;removing M4 from the substrate after selectively growing M5; andetching the substrate after removing M4 to transfer the pattern into M1.
  • 14. The semiconductor manufacturing apparatus as recited in claim 13, wherein etching the substrate after removing M4 further comprises: etching exposed surfaces of M3 on the substrate; andcontinue etching the substrate to transfer the pattern into M1.
  • 15. The semiconductor manufacturing apparatus as recited in claim 13, wherein depositing the layer of M6 includes performing a low-damage plasma enhanced atomic layer deposition (PEALD).
  • 16. The semiconductor manufacturing apparatus as recited in claim 13, wherein removing the top surfaces of M6 from the substrate after the gap filling includes performing a light etch operation to expose a surface of the oxide material.
  • 17. The semiconductor manufacturing apparatus as recited in claim 13, wherein selectively growing M5 is performed by MOCVD (Metal Organic CVD).
  • 18. The semiconductor manufacturing apparatus as recited in claim 13, wherein removing M4 from the substrate after selectively growing M5 includes removing by ashing.
  • 19. The semiconductor manufacturing apparatus as recited in claim 13, wherein conformally capping the substrate with M3 further comprises: performing a low-damage plasma enhanced atomic layer deposition.
  • 20. The semiconductor manufacturing apparatus as recited in claim 13, wherein M2 is a carbon-based material, wherein M3 is one of silicon dioxide or aluminum oxide, wherein M4 is a CHx surface, wherein M5 is a metal oxide or oxynitride, wherein M6 is a fluorocarbon.
CLAIM OF PRIORITY

This application is a Continuation Application under 35 USC § 120 of U.S. patent application Ser. No. 16/744,022, entitled “Selective Deposition of Etch-Stop Layer for Enhanced Patterning,” filed on Jan. 15, 2020, which is a Continuation Application under 35 USC § 120 of U.S. Pat. No. 10,566,194, entitled “Selective Deposition of Etch-Stop Layer for Enhanced Patterning,” filed on May 7, 2018, all of which are incorporated herein by reference in their entirety.

US Referenced Citations (147)
Number Name Date Kind
5516625 Mcnamara et al. May 1996 A
5863707 Lin Jan 1999 A
5879866 Starikov et al. Mar 1999 A
5895740 Chien et al. Apr 1999 A
5930627 Zhou et al. Jul 1999 A
5935873 Spuler et al. Aug 1999 A
6069046 Gardner et al. May 2000 A
6100014 Lin et al. Aug 2000 A
6291355 Liu et al. Sep 2001 B1
6323528 Yamazaki et al. Nov 2001 B1
6329124 Rangarajan Dec 2001 B1
6379869 Schroeder Apr 2002 B1
6475811 Babcock Nov 2002 B1
6750150 Chung et al. Jun 2004 B2
6864184 Gabriel Mar 2005 B1
6955961 Chung Oct 2005 B1
7064078 Liu Jun 2006 B2
7271108 Sadjadi Sep 2007 B2
7309646 Heo et al. Dec 2007 B1
7539969 Sadjadi et al. May 2009 B2
8148269 Balseanu Apr 2012 B2
8623770 Gao Jan 2014 B1
8647521 Jung Feb 2014 B2
8796155 Sills Aug 2014 B2
8889500 Kamineni Nov 2014 B1
9142474 Chen et al. Sep 2015 B2
9165765 Raley et al. Oct 2015 B1
9378963 Yeh Jun 2016 B2
9905754 Yoon Feb 2018 B1
10074559 Bajaj et al. Sep 2018 B1
10151981 Devilliers Dec 2018 B2
10340135 Blanquart Jul 2019 B2
10566194 Shankar Feb 2020 B2
10879066 Hasebe Dec 2020 B2
11094542 Shankar Aug 2021 B2
20020081852 Sandhu Jun 2002 A1
20020142610 Chien et al. Oct 2002 A1
20030224254 Chung Dec 2003 A1
20030232509 Chung et al. Dec 2003 A1
20040002217 Mazur Jan 2004 A1
20040009436 Lee Jan 2004 A1
20040132292 Mariani Jul 2004 A1
20050167394 liu Aug 2005 A1
20050227492 Hah Oct 2005 A1
20060063384 Hah et al. Mar 2006 A1
20060099783 Gluschenkov et al. May 2006 A1
20060121711 Kelling Jun 2006 A1
20060154477 Geng Jul 2006 A1
20060240361 Lee Oct 2006 A1
20060266478 Lee Nov 2006 A1
20070026654 Huotari et al. Feb 2007 A1
20070034971 Anderson et al. Feb 2007 A1
20070181530 Huang Aug 2007 A1
20070196980 Subramanian Aug 2007 A1
20070218677 Engelhardt et al. Sep 2007 A1
20080061338 Lattard Mar 2008 A1
20080113511 Park May 2008 A1
20080146032 Kim Jun 2008 A1
20080248654 Jung Oct 2008 A1
20080299465 Bencher et al. Dec 2008 A1
20090050271 Goyal Feb 2009 A1
20090093100 Xia Apr 2009 A1
20090104776 Dobuzinsky Apr 2009 A1
20090163035 Romano et al. Jun 2009 A1
20090170326 Jung Jul 2009 A1
20090170330 Jung Jul 2009 A1
20090191711 Rui et al. Jul 2009 A1
20090209097 Schulz et al. Aug 2009 A1
20090291275 Tong et al. Nov 2009 A1
20090305506 Linz Dec 2009 A1
20090317748 Choi Dec 2009 A1
20090323411 Bach Dec 2009 A1
20100068656 Yeh Mar 2010 A1
20100068885 Cirigliano Mar 2010 A1
20100258913 Lue Oct 2010 A1
20110065049 Kushibiki Mar 2011 A1
20110266685 Chumakov et al. Nov 2011 A1
20120208361 Ha Aug 2012 A1
20120208374 Yu Aug 2012 A1
20120282780 Romano et al. Nov 2012 A9
20130074769 Choi Mar 2013 A1
20130122707 Shimizu et al. May 2013 A1
20130252431 Chen Sep 2013 A1
20130288471 Chi Oct 2013 A1
20130344699 Chiba Dec 2013 A1
20140024209 Jung Jan 2014 A1
20140170853 Shamma Jun 2014 A1
20140329388 Jang Nov 2014 A1
20140357083 Ling et al. Dec 2014 A1
20150024597 Gao et al. Jan 2015 A1
20150037979 Hudson Feb 2015 A1
20150060959 Lin et al. Mar 2015 A1
20150076702 Iida Mar 2015 A1
20150147867 Shieh May 2015 A1
20150155171 Chang Jun 2015 A1
20150255543 Cheng et al. Sep 2015 A1
20150279685 Ting et al. Oct 2015 A1
20150340240 Tsai Nov 2015 A1
20150340469 Chen et al. Nov 2015 A1
20150380303 Yang et al. Dec 2015 A1
20160033869 Hustad et al. Feb 2016 A1
20160049305 Mebarki Feb 2016 A1
20160254153 Park Sep 2016 A1
20160254171 Shamma Sep 2016 A1
20160268142 Liou Sep 2016 A1
20160293417 Park et al. Oct 2016 A1
20160307772 Choi Oct 2016 A1
20160329207 Mohanty Nov 2016 A1
20160365248 Mebarki Dec 2016 A1
20170069530 Lu et al. Mar 2017 A1
20170076946 Chen Mar 2017 A1
20170140930 Kao et al. May 2017 A1
20170178899 Kabansky et al. Jun 2017 A1
20170186614 Ko et al. Jun 2017 A1
20170200641 Tsai Jul 2017 A1
20170256402 Kaufman-osborn et al. Sep 2017 A1
20180047583 Hautala Feb 2018 A1
20180061628 Ou et al. Mar 2018 A1
20180061650 Mahorowala et al. Mar 2018 A1
20180151346 Blanquart May 2018 A1
20180226259 Choi et al. Aug 2018 A1
20180233536 Chang Aug 2018 A1
20180323067 Shu Nov 2018 A1
20180337044 Wang et al. Nov 2018 A1
20180337046 Shamma et al. Nov 2018 A1
20190019676 Zhang Jan 2019 A1
20190140173 Huang et al. May 2019 A1
20190157066 Zhou May 2019 A1
20190157094 Lin May 2019 A1
20190157095 Zhou et al. May 2019 A1
20190164758 Su et al. May 2019 A1
20190206681 De Silva et al. Jul 2019 A1
20190326114 Kachian et al. Oct 2019 A1
20190341256 Shankar Nov 2019 A1
20190348292 Dutta Nov 2019 A1
20190385902 Wang Dec 2019 A1
20200066521 Lin et al. Feb 2020 A1
20200152462 Park May 2020 A1
20200168466 Shankar May 2020 A1
20200211848 Shiliang Jul 2020 A1
20200219889 Lin Jul 2020 A1
20210083112 Wu et al. Mar 2021 A1
20210098258 Degai et al. Apr 2021 A1
20210183656 Lutker-Lee Jun 2021 A1
20210272806 Topaloglu Sep 2021 A1
20210296127 Park Sep 2021 A1
20210358753 Shankar Nov 2021 A1
Foreign Referenced Citations (8)
Number Date Country
112106184 Dec 2020 CN
2010503995 Feb 2010 JP
2012519959 Aug 2012 JP
2015122501 Jul 2015 JP
2017504201 Feb 2017 JP
20100041215 Apr 2010 KR
WO-2014209396 Dec 2014 WO
WO-2019217322 Nov 2019 WO
Non-Patent Literature Citations (10)
Entry
“Korean Application Serial No. 10-2020-7035075, Notice of Preliminary Rejection dated Jul. 17, 2022”, W English Translation, 3 pgs.
U.S. Appl. No. 15/972,918, Notice of Allowance dated Oct. 10, 2019, 15 pgs.
U.S. Appl. No. 15/972,918, Notice of Non-Compliant Amendment dated Sep. 13, 2019, 2 pgs.
U.S. Appl. No. 15/972,918, Response filed Sep. 23, 2019 to Non-Compliant Amendment dated Sep. 13, 2019, 6 pgs.
U.S. Appl. No. 15/972,918, Response filed Sep. 6, 2019 to Restriction Requirement dated Aug. 13, 2019, 5 pgs.
U.S. Appl. No. 15/972,918, Restriction Requirement dated Aug. 13, 2019, 6 pgs.
U.S. Appl. No. 16/744,022, Notice of Allowance dated Apr. 14, 2021, 10 pgs.
International Application Serial No. PCT/US2019/030929, International Preliminary Report on Patentability dated Nov. 19, 2020, 6 pgs.
International Application Serial No. PCT/US2019/030929, International Search Report dated Aug. 29, 2019, 3 pgs.
International Application Serial No. PCT/US2019/030929, Written Opinion dated Aug. 29, 2019, 4 pgs.
Related Publications (1)
Number Date Country
20210358753 A1 Nov 2021 US
Continuations (2)
Number Date Country
Parent 16744022 Jan 2020 US
Child 17389301 US
Parent 15972918 May 2018 US
Child 16744022 US