Structures and methods for low temperature bonding using nanoparticles

Information

  • Patent Grant
  • 11710718
  • Patent Number
    11,710,718
  • Date Filed
    Monday, January 4, 2021
    3 years ago
  • Date Issued
    Tuesday, July 25, 2023
    9 months ago
  • Inventors
  • Original Assignees
    • ADEIA SEMICONDUCTOR TECHNOLOGIES LLC (San Jose, CA, US)
  • Examiners
    • Sandvik; Benjamin P
    Agents
    • Knobbe, Martens, Olson & Bear, LLP
Abstract
A method of making an assembly can include juxtaposing a top surface of a first electrically conductive element at a first surface of a first substrate with a top surface of a second electrically conductive element at a major surface of a second substrate. One of: the top surface of the first conductive element can be recessed below the first surface, or the top surface of the second conductive element can be recessed below the major surface. Electrically conductive nanoparticles can be disposed between the top surfaces of the first and second conductive elements. The conductive nanoparticles can have long dimensions smaller than 100 nanometers. The method can also include elevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles can cause metallurgical joints to form between the juxtaposed first and second conductive elements.
Description
BACKGROUND OF THE INVENTION

The present invention relates to microelectronic packages, to components for use in fabrication of microelectronic packages, and to methods of making the packages and components.


Microelectronic devices generally comprise a thin slab of a semiconductor material, such as silicon or gallium arsenide, commonly called a die or a semiconductor chip. Semiconductor chips are commonly provided as individual, prepackaged units. In some unit designs, the semiconductor chip is mounted to a substrate or chip carrier, which is in turn mounted on a circuit panel, such as a printed circuit board.


In one face of the semiconductor chip is fabricated the active circuitry. To facilitate electrical connection to the active circuitry, the chip is provided with bond pads on the same face. The bond pads are typically placed in a regular array either around the edges of the die or, for many memory devices, in the die center. The bond pads are generally made of a conductive metal, such as copper, gold, or aluminum, around 0.5 μm thick. The size of the bond pads will vary with the device type but will typically measure tens to hundreds of microns on a side.


Flip-chip interconnection is a commonly used scheme for conductively connecting bond pads on the semiconductor chip to contact pads on a substrate, or to one or more other semiconductor chips. In flip-chip interconnection, lumps of metal are typically placed or formed on each bond pad. The die is then inverted so the metal lumps provide both the electrical pathway between the bond pads and the substrate as well as the mechanical attachment of the die to the substrate.


There are many variations of the flip-chip process, but one common configuration is to use solder for the lumps of metal and fusion of the solder as the method of fastening it to the bond pads and the substrate. When it melts, the solder flows to form truncated spheres.


It is becoming more difficult to package semiconductor chips in a flip-chip manner in which the contacts of the chip face toward corresponding contacts of a package substrate. Increased density of the chip contacts is causing the pitch between contacts to be reduced. Consequently, the volume of solder available for joining each chip contact to the corresponding package contact is reduced. An risk with the use of a relatively small volume of solder for contact joining is that the entire volume of solder may be converted into a brittle inter-metallic compound with the metal of the contacts, which may jeopardize the reliability of the solder joints.


Moreover, smaller solder joints cause the stand-off height between the contact-bearing chip surface and the adjacent face of the package substrate to be reduced. However, when the contact density is very high, the stand-off height may need to be greater than the height of a simple solder joint in order to form a proper underfill between the adjacent surfaces of the chip and package substrate. In addition, it may be necessary to require a minimum stand-off height in order to allow the contacts of the package substrate to move somewhat relative to the contacts of the chip in order to compensate for differential thermal expansion between the chip and the substrate.


One approach that has been proposed to address these concerns involves forming metal columns by electroplating a metal such as copper directly on the chip contacts, using a photoresist mask overlying the chip front surface to define the locations and height of the columns. The chip with the columns extending from the bond pads thereon can then be joined to corresponding contacts of the package substrate. Alternatively, a similar approach can be taken to form metal columns on exposed pads of the substrate. The substrate with the columns extending from the contacts thereon can then be joined to corresponding contacts of the chip.


However, the process of forming the columns by electroplating can be problematic when performed simultaneously over a large area, such as, for example, the entire area of a wafer (having a diameter from about 200 millimeters to about 300 millimeters) or over the entire area of a substrate panel (typically having dimensions of about 500 millimeters square). It is difficult to achieve metal columns with uniform height, size and shape across the substrate. All of these are very difficult to achieve when the size and height of the columns is very small, e.g., at column diameters of about 75 microns or less and column heights of about 50 microns or less. Variations in the thickness of the photoresist mask, uniformity of the pattern layout, local variation in pad density, local variation in mass transport of the electrolyte, local variations in the plating current distribution, or variations in the size of shape of patterns over a large area such as a wafer or substrate panel can interfere with obtaining columns of uniform height, size and shape.


In another method, bumps of solder paste or other metal-filled paste can be stenciled onto conductive pads on an exposed surface of a substrate panel. The bumps can then be flattened by subsequent coining to improve planarity. However, tight process control can be required to form bumps having uniform solder volume, especially when the pitch is very small, e.g., about 50 microns or less. It can also be very difficult to eliminate the possibility of solder-bridging between bumps when the pitch is very small, e.g., about 50 microns or less.


Despite the advances that have been made in flip chip interconnections, there is still a need for further improvements.


BRIEF SUMMARY OF THE INVENTION

A method of making an assembly can include forming a first conductive element at a first surface of a substrate of a first component, the first conductive element extending in a direction away from the first surface, and forming conductive nanoparticles at a surface of the conductive element by exposure to an electroless plating bath, the conductive nanoparticles having long dimensions smaller than 100 nanometers. The method can also include juxtaposing the surface of the first conductive element with a corresponding surface of a second conductive element at a major surface of a substrate of a second component, with the conductive nanoparticles disposed between the surfaces of the first and second conductive elements. The method can further include elevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles cause metallurgical joints to form between the juxtaposed first and second conductive elements.


In one example, the first conductive element can be one of a plurality of first conductive elements at the first surface, and the second conductive element can be one of a plurality of second conductive elements at the major surface, corresponding surfaces of the first and second conductive elements being juxtaposed with one another. The method can also include, during the juxtaposing step, compressing the thickness of the bond region by different distances among different ones of the juxtaposed first and second conductive elements, the thickness of the bond region varying among the different ones of the juxtaposed first and second conductive elements by up to 3 microns so as to accommodate non-coplanarity of the top surfaces of the at least some of the first conductive elements.


In a particular embodiment, the first conductive element can be a substantially rigid post, and the surface of the substantially rigid post can be a top surface that projects a height above the first surface of the first component such that the top surface is remote from the first surface, the post having edge surfaces extending at substantial angles away from the top surface. The forming of the conductive nanoparticles can deposit the conductive nanoparticles onto the edge surfaces of the post, the nanoparticles substantially completely covering the top surface and the edge surfaces of the post.


In one embodiment, after the elevating temperature step, the interface of the juxtaposed first and second conductive elements can contain microvoids, each microvoid having a maximum width below 0.5 microns. In a particular example, at least one of the first or second components can be a microelectronic element including active semiconductor devices, and the joining temperature can be not more than 150° C. In an exemplary embodiment, at least one of the first and second conductive elements can comprise an electrically conductive pad, an electrically conductive trace, or an electrically conductive substantially rigid post.


Another method of making an assembly can include forming conductive nanoparticles at a surface of a first conductive element at a first surface of a substrate of a first component by exposing the first conductive element to an electrolytic bath at a current density greater than the mass transport limiting current density of the plating bath, the conductive nanoparticles having long dimensions smaller than 100 nanometers. The method can also include juxtaposing the surface of the first conductive element with a corresponding surface of a second conductive element at a major surface of a substrate of a second component, with the conductive nanoparticles disposed between the surfaces of the first and second conductive elements. The method can further include elevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles cause metallurgical joints to form between the juxtaposed first and second conductive elements.


In one example, the method can also include, before forming the conductive nanoparticles, forming the plurality of first conductive elements by depositing a seed layer onto the first surface of the substrate of the first component and forming the first conductive elements extending from the seed layer. In a particular embodiment, the method can also include, after forming the conductive nanoparticles, forming a dielectric mask covering the conductive nanoparticles and removing a portion of the seed layer from the first surface adjacent the first conductive element. In one embodiment, the method can also include, before forming the conductive nanoparticles, forming the first conductive element on the first surface of a substrate of the first component, the first conductive element extending in a direction away from the first surface.


In a particular example, the first conductive element can be a substantially rigid post, and the surface of the first conductive element can be a top surface that projects a height above the first surface of the first component such that the top surface is remote from the first surface, the post having edge surfaces extending at substantial angles away from the top surface thereof. In an exemplary embodiment, the second conductive element can be a substantially rigid post, and the surface of the second conductive element can be a top surface that projects a height above the major surface of the second component such that the top surface is remote from the major surface, the post of the second component having edge surfaces extending at substantial angles away from the top surface thereof. In one example, at least one of the first and second conductive elements can comprise an electrically conductive pad, an electrically conductive trace, or an electrically conductive substantially rigid post.


An assembly can include a first component including a substrate having a first surface and a plurality of substantially rigid first posts at the first surface, the first posts extending away from the first surface in a first direction, each first post having a top surface generally facing in the first direction, the top surface of each of the first posts projecting a height above the first surface such that the top surface is remote from the first surface, each first post having edge surfaces extending at substantial angles away from the top surface thereof. The assembly can also include a second component including a substrate having a major surface and a plurality of second conductive elements at the major surface, each second conductive element having a top surface generally facing in a second direction.


The first posts can be joined with the second conductive elements, such that the top surfaces of the first posts at least partially confront the top surfaces of the second conductive elements. The top surfaces of at least some of the first posts can be non-coplanar with respect to one another. Each first post can be electrically interconnected to a corresponding one of the second conductive elements by a bond region including impurities that show structural evidence of the use of metal nanoparticles having long dimensions smaller than 100 nanometers in the joining process. Each bond region can penetrate at least partially into the first post and the second conductive element. Each bond region can contain a plurality of microvoids. Each microvoid can have a maximum width below 0.5 microns. The thickness of different ones of the bond regions can vary by up to 3 microns so as to accommodate the non-coplanarity of the top surfaces of the at least some of the first posts.


In one example, the assembly can also include a barrier layer substantially completely covering the top surface and the edge surfaces of each first post, each bond region being located between the barrier layer of a respective one of the first posts and the top surface of a corresponding one of the second conductive elements. In a particular embodiment, the plurality of second conductive elements can be substantially rigid second posts extending away from the major surface in the second direction, and the top surface of each of the second posts can project a height above the major surface of the second component such that the top surface is remote from the major surface, each second post having edge surfaces extending at substantial angles away from the top surface thereof. In one embodiment, the edge surfaces of each of the first posts and second posts can have a surface roughness of at least 3.0 nanometers. In a particular example, at least one of the first or second components can be a microelectronic element including active semiconductor devices.


In an exemplary embodiment, the first posts and the second conductive elements can each consist essentially of the same material, and the bond region can include at least one metal selected from a group consisting of copper, gold, silver, nickel, tin, aluminum, an alloy including silver, an alloy including indium, and an alloy including tin. In one example, at least one of the first posts and second conductive elements can comprise an electrically conductive pad, an electrically conductive trace, or an electrically conductive substantially rigid post. In a particular embodiment, the first component can be a microelectronic element wafer including a plurality of microelectronic element portions, each microelectronic element portion including a respective subset of the first posts at the first surface, and the second component can be at least a portion of a substrate panel including a plurality of substrate portions, each substrate portion including a respective subset of the second conductive elements at the major surface.


Another method of making an assembly can include juxtaposing a top surface of a first electrically conductive element at a first surface of a first substrate with a top surface of a second electrically conductive element at a major surface of a second substrate. One of: the top surface of the first conductive element can be recessed below the first surface of the first substrate, or the top surface of the second conductive element can be recessed below the major surface of the second substrate. Electrically conductive nanoparticles can be disposed between the top surfaces of the first and second conductive elements. The conductive nanoparticles can have long dimensions smaller than 100 nanometers. The method can also include elevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles can cause metallurgical joints to form between the juxtaposed first and second conductive elements.


In a particular embodiment, the method can also include forming the electrically conductive nanoparticles including exposing at least one receiving surface being at least one of the top surfaces of the first and second conductive elements to an electroless plating bath or an electrolytic bath at a current density greater than the mass transport limiting current density of the electrolytic bath. In one example, at least one of the first and second conductive elements can include an electrically conductive pad, an electrically conductive trace, or an electrically conductive substantially rigid post. In an exemplary embodiment, the first conductive element can be a substantially rigid post. The top surface of the substantially rigid post can be remote from the first surface of the first component and can project a height above the first surface. The substantially rigid post can have edge surfaces extending at substantial angles away from the top surface thereof. The top surface of the second conductive element can be disposed in a recess extending below the major surface.


In one example, the first conductive element can be a substantially rigid post. The top surface of the substantially rigid post can be remote from the first surface of the first component and can project a height above the first surface. The substantially rigid post can have edge surfaces extending at substantial angles away from the top surface thereof. After the juxtaposing, the top surface of the substantially rigid post can be disposed in a recess extending below the major surface of the second substrate.


In a particular example, the method can also include etching the major surface of the substrate of the second component to form the recess and to expose the top surface of the second conductive element within the recess. In one embodiment, before the juxtaposing of the top surfaces of the first and second electrically conductive elements, the electrically conductive nanoparticles can be disposed on the top surface of both of the first and second electrically conductive elements. In one example, before the juxtaposing of the top surfaces of the first and second electrically conductive elements, the electrically conductive nanoparticles can be disposed on the top surface of one of the first or second electrically conductive elements. In a particular embodiment, before the juxtaposing of the top surfaces of the first and second electrically conductive elements, the conductive nanoparticles can include first and second layers of conductive nanoparticles overlying each top surface.


The first layer of conductive nanoparticles can be disposed on the respective top surface and the second layer of conductive nanoparticles can be disposed on the first layer of conductive nanoparticles. The second layer of conductive nanoparticles can include at least one material different than at least one material comprising the first layer of conductive nanoparticles. In one example, before the juxtaposing of the top surfaces of the first and second electrically conductive elements, the conductive nanoparticles on each top surface can include a third layer of conductive nanoparticles formed on the respective second layer of conductive nanoparticles. The third layer of conductive nanoparticles can include at least one material different than the at least one material comprising the second layer of conductive nanoparticles. The second layer of conductive nanoparticles can include a barrier metal configured to prevent metal of the third layer of conductive nanoparticles from penetrating into the first layer of conductive nanoparticles.


In an exemplary embodiment, the method can also include, before the temperature is elevated, depositing solder onto the conductive nanoparticles on at least one of the top surfaces of the first and second electrically conductive elements. During the elevating of the temperature, the solder can fill gaps between at least some of the conductive nanoparticles via capillary action. In a particular example, the first surface of the substrate of the first component and the major surface of the substrate of the second component can each comprise a dielectric material. During the elevating of the temperature, the dielectric material of the first surface can directly bond with the dielectric material of the major surface. In one embodiment, the dielectric material at the first surface and the major surface can each include a B-stage material layer that is not fully cured. During the elevating of the temperature, the B-stage material layers can be fully cured.


Another assembly can include a first component including a substrate having a first surface and a plurality of substantially rigid first posts of metal at the first surface. The first posts can extend away from the first surface in a first direction. Each first post can have a top surface generally facing in the first direction. The top surface of each of the first posts can project a height above the first surface such that the top surface is remote from the first surface. Each first post can have edge surfaces extending at substantial angles away from the top surface thereof. The assembly can also include a second component including a substrate having a major surface and a plurality of second conductive elements exposed at the major surface. Each second conductive element can have a top surface generally facing in a second direction.


The top surface of each second conductive element can be exposed in a recess extending below the major surface. The first posts can be joined with the second conductive elements, such that the top surfaces of the first posts at least partially confront the top surfaces of the second conductive elements, and such that at least some of the first posts at least partially extend into corresponding ones of the recesses of the second component. Each first post can be electrically interconnected to a corresponding one of the second conductive elements by a bond region including impurities that show structural evidence of the use of conductive nanoparticles having long dimensions smaller than 100 nanometers in the joining process. Each bond region can penetrate at least partially into the first post and the second conductive element.


In a particular embodiment, each bond region can include impurities that show structural evidence of first, second, and third layers of electrically conductive nanoparticles. The second layer of conductive nanoparticles can be disposed between the first and third layers of conductive nanoparticles and can include at least one material different than at least one material comprising the first layer of conductive nanoparticles and different than at least one material comprising the third layer of conductive nanoparticles. In one example, each bond region can includes impurities that show structural evidence of first, second, third, fourth, and fifth layers of electrically conductive nanoparticles. The first and fifth layers of conductive nanoparticles can penetrate at least partially into the first post and the second conductive element, respectively.


The second and fourth layers of conductive nanoparticles can each include at least one material different than at least one material comprising the first and fifth layers of conductive nanoparticles, respectively. Each of the second and fourth layers of conductive nanoparticles can include a barrier metal configured to prevent metal of the third layer of conductive nanoparticles from penetrating into the first and fifth layers of conductive nanoparticles. In an exemplary embodiment, each bond region can include solder extending into microvoids located between at least some of the conductive nanoparticles, each microvoid having a maximum width below 0.5 microns.


In a particular example, the first surface of the substrate of the first component and the major surface of the substrate of the second component can each comprise a dielectric material, and the dielectric material of the first surface can be directly bonded with the dielectric material of the major surface. In one embodiment, at least one of the substrates of the first component and the second component can have a metal element extending in a respective plane in first and second transverse directions within the respective substrate. The metal element can be configured to provide electromagnetic shielding to reduce signal noise of signals traveling between the first and second conductive elements.


In a particular embodiment, both of the substrates of the first component and the second component can have the metal element extending in the respective plane in the first and second transverse directions within the respective substrate. The metal element of the first component can include traces extending in the first direction, and the metal element of the second component can include traces extending in the second direction. In one example, the metal element can be a continuous metal sheet having an opening extending therethrough aligned in the first and second directions with the first and second conductive elements. In an exemplary embodiment, at least one of the first or second components can be a microelectronic element including active semiconductor devices.


In one embodiment, a system can include an assembly as described above and one or more other electronic components electrically connected to the assembly. In a particular example, the system can also include a housing, the assembly and the other electronic components being mounted to the housing. Further aspects of the invention can provide systems that incorporate assemblies according to the foregoing aspects of the invention, composite chips according to the foregoing aspects of the invention, or both in conjunction with other electronic components electrically connected thereto. For example, the system can be disposed in and/or mounted to a single housing, which can be a portable housing. Systems according to preferred embodiments in this aspect of the invention can be more compact than comparable conventional systems.





BRIEF DESCRIPTION OF THE DRAWINGS


FIG. 1 is a diagrammatic side sectional view of an assembly according to an embodiment of the present invention.



FIGS. 2A-2F are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 1.



FIG. 3 is a diagrammatic side sectional view of an assembly according to an alternative embodiment of the assembly shown in FIG. 1.



FIGS. 4A-4C are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 3.



FIG. 5 is a diagrammatic side sectional view of an assembly according to another alternative embodiment of the assembly shown in FIG. 1.



FIGS. 6A-6D are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 5.



FIG. 7 is a diagrammatic side sectional view of an assembly according to an alternative embodiment of the assembly shown in FIG. 5.



FIGS. 8A and 8B are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 7.



FIG. 9 is a diagrammatic side sectional view of an assembly according to an embodiment of the present invention.



FIGS. 10A-10C are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 9.



FIG. 11 is a diagrammatic side sectional view of an assembly according to an embodiment of the present invention.



FIGS. 12A and 12B are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 11.



FIG. 13 is a diagrammatic side sectional view of an assembly according to an alternative embodiment of the assembly shown in FIG. 11.



FIGS. 14A and 14B are side sectional views illustrating stages of fabrication in accordance with the embodiment depicted in FIG. 13.



FIG. 15 is a diagrammatic perspective view of an assembly according to an embodiment of the present invention.



FIG. 16 is a diagrammatic perspective view of an assembly according to an alternative embodiment of the assembly shown in FIG. 15.



FIG. 17 is a schematic depiction of a system according to one embodiment of the invention.





DETAILED DESCRIPTION

As used in this disclosure with reference to a substrate, a statement that an electrically conductive element is “at” a surface of a substrate indicates that, when the substrate is not assembled with any other element, the electrically conductive element is available for contact with a theoretical point moving in a direction perpendicular to the surface of the substrate toward the surface of the substrate from outside the substrate. Thus, a terminal or other conductive element which is at a surface of a substrate may project from such surface; may be flush with such surface; or may be recessed relative to such surface in a hole or depression in the substrate. In some embodiments, the conductive element may be attached to the surface or may be disposed in one or more layers of dielectric coating on the said surface.


As illustrated in FIG. 1, an assembly 100 can include a first substrate 110 having a major surface 112 extending in a first direction D1 and in a second direction transverse to the first direction, a second substrate 120 having a major surface 122 extending in the first and second directions, and a conductive column 130 extending in a third direction D3 transverse to the first and second directions, the column providing an electrical connection between conductive elements 131 and 133 at the major surface 112 and the major surface 122, respectively. Although only a single column 130 is shown and described with reference to FIG. 1, it is to be understood that the first and second substrates 110, 120 may be joined by an m×n array of conductive columns, one or both of m and n being greater than one. The column 130 (and the other conductive columns described herein) may be used, for example, to carry signals or information, power, heat, or a reference potential, between the first substrate 110 and the second substrate 120.


In FIG. 1, the first and second directions parallel to the major surface 112 and the major surface 122 are referred to herein as “horizontal” or “lateral” directions, whereas the directions perpendicular to the major surfaces, such as the third direction D3, are referred to herein as upward or downward directions and are also referred to herein as the “vertical” directions. The directions referred to herein are in the frame of reference of the structures referred to. Thus, these directions may lie at any orientation to the normal or gravitational frame of reference. A statement that one feature is disposed at a greater height “above a surface” than another feature means that the one feature is at a greater distance in the same orthogonal direction away from the surface than the other feature. Conversely, a statement that one feature is disposed at a lesser height “above a surface” than another feature means that the one feature is at a smaller distance in the same orthogonal direction away from the surface than the other feature.


In some embodiments, one or both of the substrates 110 and 120 (or any of the substrates disclosed herein with respect to any of the embodiments) can be a semiconductor chip, a wafer, glass, ceramic, glass-ceramic, a polymer, composite material, a substrate, a microelectronic package, a flat panel, or the like, and combinations thereof. One or both of the substrates 110 and 120 may consist essentially of an inorganic material such as silicon, or a substrate, or an in-process microelectronic package. The thickness of one or both of the substrates 110 and 120 between its major surface and a respective second surface 114 or 124 opposite to the major surface can be less than 500 μm, and can be significantly smaller, for example, 130 μm, 70 μm or even smaller. One or both of the substrates 110 and 120 can be an interposer providing electrical interconnection between the major and second surfaces thereof, and/or one or both of the substrates 110 and 120 can be a microelectronic package having active semiconductor devices therein and terminals at the second surface thereof configured for joining to a component external to the microelectronic package.


One or both of the substrates 110 and 120 (or any of the substrates disclosed herein with respect to any of the embodiments) can have a coefficient of thermal expansion (“CTE”) less than 10 parts per million per degree Centigrade in a plane of the substrate (“ppm/° C.”). In a particular embodiment, one or both of the substrates 110 and 120 can have a CTE less than 7 ppm/° C. In other embodiments, the CTE of one or both of the substrates 110 and 120 can be less than 20 ppm/° C. In one example, the CTE of one or both of the substrates 110 and 120 can be greater than 22 ppm/° C.


In some embodiments, one or both of the substrates 110 and 120 (or any of the substrates disclosed herein with respect to any of the embodiments) can be made from a material such as semiconductor material, ceramic, glass, liquid crystal material, a composite material such as glass-epoxy or a fiber-reinforced composite, a laminate structure, or a combination thereof. In some embodiments, one or both of the substrates 110 and 120 can be a supporting dielectric element, e.g., a tape used in tape automated bonding (“TAB”). In one example, one or both of the substrates 110 and 120 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of less than 10 ppm/° C. In a particular embodiment, the substrate 102 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of between about 10 and about 20 ppm/° C. In one particular embodiment, one or both of the substrates 110 and 120 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of between about 10 and about 20 ppm/° C. and an out-of-plane coefficient of thermal expansion between about 15 and about 60 ppm/° C. In one example, one or both of the substrates 110 and 120 can have a Young's modulus of less than 4 GPa. In an exemplary embodiment, one or both of the substrates 110 and 120 can have a Young's modulus of less than 100 GPa.


One or both of the substrates 110 and 120 (or any of the substrates disclosed herein with respect to any of the embodiments) can further include an insulating dielectric layer (not shown) overlying the respective major surface 112 or 122 and/or the respective second surface 114 or 124. Such dielectric layers can electrically insulate conductive elements such as the column 130 from the substrate, when the substrate comprises an electrically conductive material or a semiconductor material. These dielectric layers can be referred to as “passivation layers” of the substrate. Such dielectric layers can include an inorganic or organic dielectric material or both. Such dielectric layers can include an electrodeposited conformal coating or other dielectric material, for example, a photoimageable polymeric material, for example, a solder mask material.


One or both of the substrates 110 and 120 (or any of the substrates disclosed herein with respect to any of the embodiments) can further include conductive structure 116 or 126 therein. Such conductive structure can include traces extending along one or both of the major and second surfaces, conductive interconnects or conductive vias extending between or in a direction between the respective major surface 112 or 122 and/or the respective second surface 114 or 124, and terminals 118 or 128 at the respective second surface for electrical connection with a component external to the assembly 100.


In embodiments where one or both of the substrates 110 and 120 include a semiconductor substrate, made for example from silicon, one or a plurality of semiconductor devices (e.g., transistors, diodes, etc.) can be disposed in an active device region thereof located at and/or below the respective major surface 112 or 122.


The conductive column 130 can include a first electrically conductive element or portion 132 and a second electrically conductive element or portion 134. The first portion 132 can be electrically connected to and joined with one or more conductive elements 131 at the major surface 112 of the first substrate 110, and the second portion 134 can be electrically connected to and joined with one or more conductive elements 133 at the major surface 122 of the second substrate 120. Each of the first and second portions 132, 134 can be a metal post extending from the respective major surface 112, 122 in the third direction D3 or in a direction opposite the third direction, such metal posts including substantially rigid elements such as vertically-extending portions of metal. In one embodiment, the width of the first portion 132 in horizontal directions parallel to the major surface 112 (e.g., the direction D1) can be less than the width of the corresponding conductive element 131. Likewise, in a particular example, the width of the second portion 134 in horizontal directions parallel to the major surface 122 (e.g., the direction D1) can be less than the width of the corresponding conductive element 133.


The first and second portions 132, 134 can each include a conductive material such as copper, aluminum, tungsten, solder, gold, nickel, tin, lead, gallium, indium, silver, an alloy including copper, an alloy including nickel, an alloy including tungsten, or a combination of one or more of the aforementioned materials, among others. In one example, each of the first and second portions 132, 134 can consist essentially of copper. The first and second portions 132, 134 can each comprise the same metal, or the first portion may comprise a different metal than the second portion.


The conductive column 130 can also include a first conductive element 131 at the major surface 112 of the first substrate 110 and/or a second conductive element 133 at the major surface 122 of the second substrate 112. Such a conductive element 131 and/or 133 can be a thin, flat pad of metal, such as copper, aluminum, nickel, or another suitable material. In some embodiments, such a conductive element 131 and/or 133 can be substantially thick, and the combined heights of the conductive elements in the vertical direction D3 perpendicular to the major surfaces 112, 122 can be up to 30% of the height of the conductive column 130. In a particular example, the combined heights of the conductive elements in the vertical direction D3 can be up to 70% of the height of the conductive column 130. Such a conductive element 131 or 133 can comprise the same metal as one or both of the first and second portions 132, 134, or it may comprise a metal that is different from that of one or both of the first and second portions. In some embodiments, one or both of the first and second conductive elements 131, 133 can comprise a barrier layer or barrier material. In one example, one or both of the first and second conductive elements 131, 133 can be integrally formed with one or both of the first and second portions 132, 134.


The conductive column 130 can include a bond region 136 that can include structural evidence of nanoparticles having been joined together in a prior bonding operation. As used herein, the term “nanoparticles” includes nanomaterials in any form, including, for example, clusters of nanoparticles having long dimensions typically smaller than about 100 nanometers, nanoparticles suspended in a liquid, or nanoparticles suspended in a paste containing a surfactant. The bonding region may or may not comprise any remaining liquid, e.g., surfactant or solvent. The actual dimensions of the nanoparticles can be significantly smaller, e.g., having dimensions from about one nanometer and larger. In one example, the bond region 136 can penetrate at least partially into each of the first and second portions 132, 134. Such nanoparticles can also be arranged as dendritic deposits at one or more surfaces of metal posts that can comprise the first and second portions 132, 134 of the conductive column 130.


In one example, the bond region 136 can include a layer of nanoparticles consisting essentially of at least one of copper, gold, nickel, silver, alloys including silver, gallium, indium, alloys of gallium or indium, tin, bismuth, eutectic metal alloys, another metal, or a combination of metals. The nanoparticles can be coated with a very thin protective or non-protective layer of material (e.g., gallium, indium, tin, nickel, copper, gold), and the protective layer can be continuous or discontinuous. Such a protective or non-protective layer of material can lower the melting point of the nanoparticles. In one example, nanoparticles deposited onto the first portion 132 can be coated with gallium, and nanoparticles deposited onto the second portion 134 can be coated with indium, which can lower the melting point of the nanoparticles. Such a protective or non-protective layer of material can have a thickness of 5-10 nanometers, for example. Further details about such a protective or non-protective layer of material on nanoparticles can be found in U.S. Pat. No. 9,024,205, which is hereby incorporated herein by reference.


Nanoparticles can experience melting point depression, in which nanoscale materials can melt at temperatures substantially lower than bulk materials. In one example, the melting point of nanoparticles can be hundreds of degrees ° C. lower than that of a bulk region of the same material of which the nanoparticles consist. The melting point depression of metal nanoparticles is most pronounced when the metal has a particle diameter below about 50 nm. Having a bond region 136 that consists essentially of nanoparticles can allow the bond region to have a melting point at room temperature or within a few hundred ° C. above room temperature.


Joining the first and second substrates 110, 120 (and the other first and second substrates 310/510/710 and 320/520/720 described herein) together at a lower temperature (e.g., less than 200° C.) compared to that of conventional joining techniques can improve the structure of the final assembly 100, and can also benefit the process of making the assembly, which can improve yield and efficiency, thereby reducing fabrication cost. Typically, first and second portions 132, 134 (e.g., in the form of electrically conductive posts) of each substrate 110, 120 are juxtaposed in alignment with one another at a temperature just below the joining temperature. Then, the aligned posts are moved into contact with one another, and the assembly is heated to the joining temperature, at which time the nanoparticles on the respective first and second portions 132, 134 bond, such that the columns 130 form. In some embodiments, the nanoparticle regions between the substrates can fuse at room temperature when the mating regions touch. Subsequent higher-temperature processing can serve to improve both the mechanical and electrical integrity of the room-temperature joint. The substrate joining ambient environment can be inert, reducing, or a vacuum. In some applications, metallic oxide reducing fluids can be flowed around the substrates during the joining operation. In one embodiment, the fluid in the joining chamber can comprise a compound that enhances grain growth or grain growth rate in metals, for example, alcohol dispersed in an inert gas such as nitrogen.


In contrast to conventional solder joining processes at temperatures of approximately 250° C., reduced-temperature nanoparticle joining of substrates 110 and 120, which can have substantially different coefficients of thermal expansion, can result in significantly less strain and warpage in the final assembled product (e.g., the assembly 100). The reduced substrate warpage can be beneficial in subsequent assembly processes. This is because the difference between the temperature at which the structure is joined and the temperature at which the assembly is stored or operated is much smaller than with conventional processes. In such way, the assembled structure (e.g., the assembly 100) has less of a tendency to become warped as a result of the assembly process.


Moreover, the connections between individual portions 132, 134 of each column 130 formed at a lower joining temperature need not be quite as strong as in conventional structures, because conducting the assembly process at lower temperatures can apply less stress to each connection due to the smaller temperature differential between the joining temperature and temperatures at which the product is used or stored. The assembled package using the lower thermal budget processes for assembly can stiffen the package at lower temperatures. The stiffer package can have reduced warpage. Moreover, reducing the joining temperature can make it easier to align and join larger substrates together, thereby improving efficiency. These benefits described above can apply to all of the embodiments of the assemblies 100/300/500/700/900/1100/1300/1500/1600 described herein.


After the first and second portions 132, 134 are joined together by nanoparticles or nanomaterials in any form, the bond region 136 that joins the first and second portions together can show structural evidence that nanoparticles were used to join the first and second portions. For example, during joining of the first and second portions 132, 134, nanoparticles can diffuse into the first and second portions. After joining, the metal formerly comprising the nanoparticles are no longer in the form of clusters of nanoparticles having long dimensions typically smaller than about 100 nanometers.


The bond region 136 that joins the first and second portions 132, 134 together can show other structural evidence that nanoparticles were used to join the first and second portions, including the contour of the surface of the bond region. As shown in FIG. 1, the bond region 136 may have a scalloped or jagged surface 137 that generally extends in a circumferential direction about the column 130. The surface 137 of the bond region 136 may have a higher degree of surface roughness than the surface roughness of the first and second portions 132, 134. For example, the surface 137 may have a surface roughness that is greater than 30 nanometers. In one example, the surface 137 may have a surface roughness that is greater than 3.0 nanometers.


Another example of structural evidence that nanoparticles were used to join the first and second portions 132, 134 can be the presence of microvoids within the bond region 136. For example, the bond region 136 may include a plurality of microvoids that are each smaller than 0.5 microns, or the bond region may include microvoids that are each smaller than 0.2 microns. Such microvoids may be filled with air or dielectric material, for example. In one embodiment, the cross section of each void within the bond region can be less than 10% of the cross section of the bonded region. In particular examples, the cross section of each void within the bond region can be less than 5%, or less than 1% of the cross section of the bonded region. In one embodiment, the total area of the cross sections of the voids within a given cross section of the bond region can be less than 10% of the cross section of the bonded region. In particular examples, the total area of the cross sections of the voids within a given cross section of the bond region can be less than 5%, or less than 1% of the cross section of the bonded region.


In embodiments in which the first and second substrates 110, 120 are joined by an array of conductive columns 130, the thickness T of the bond region 136 in the third direction D3 may vary among the array of conductive columns by up to 3 microns, or between 0.5 microns and 3 microns, for example. The variation in the thickness of the bond regions 136 among the conductive columns 130 may be due to non-planarity among the top surfaces of an array of first portions 132 and/or non-planarity among the top surfaces of a corresponding array of second portions 134 before the corresponding first and second portions are joined to one another, as will be described below. In one embodiment, one of the first and second portions 132, 134 can be an electrically conductive trace or an electrically conductive pad (e.g., a flat piece of metal in the shape of a circle, oval, square, or rectangle). Thus, nanomaterials can be used to attach a conductive post to a conductive trace or a conductive pad at the major surface 112 or 122.


A method of fabricating the assembly 100 (FIG. 1) will now be described, with reference to FIGS. 2A-2F. Referring to FIG. 2A, a continuous metal seed layer 140 (e.g., copper) may be deposited onto the major surface 112 of the first substrate 110, or onto a dielectric layer overlying the major surface if the substrate comprises an electrically conductive material or a semiconductor material. The seed layer 140 can be deposited by various methods, including atomic layer deposition (ALD), physical vapor deposition (PVD), or electroless or electrolytic deposition methods, or combinations thereof. The seed layer can comprise copper, for example. The seed layer can also include an adhesion layer, a barrier layer, or both.


After the seed layer 140 is deposited onto the major surface 112, photoimageable layer such as a photoresist layer 142, can be deposited and patterned to cover only portions of the major surface 112. The photoresist layer 142 may have openings 144 at locations along the major surface 112 where it is desired to form columns 130.


Then, as shown in FIG. 2B, the first portion 132 of the column 130 can be formed by depositing one or more conductive materials (e.g., copper) into the openings 144 in contact with the seed layer 140. In this example, the first portion 132 is deposited by electrolytic deposition. The first portion 132 can extend from the seed layer 140 in the third direction D3.


Next, as shown in FIG. 2C, the first portion 132 can be partially etched, defining a top surface 146 of the first portion generally facing in the third direction D3 that may have a rounded peripheral edge 148. This partial or mild etching step can expose high-index metal planes to permit the nanoparticles to nucleate. The first portion 132 can be a unitary substantially rigid metal post, and the top surface 146 can project a height H above the major surface 112 such that the top surface is remote from the major surface. The first portion 132 can define edge surfaces or sidewalls 154 extending at substantial angles away from the top surface 146.


Then, as shown in FIG. 2D, nanoparticles 150 are deposited onto the top surface 146 of the first portion 132. In this example, the nanoparticles 150 are deposited by electrolytic deposition, in which the first portion 132 is exposed to an electrolytic bath at a current density greater than 50 mA/cm2, so as to cause local depletion of metal ions at the top surface 146 during the plating step.


In one embodiment, after plating the first portion 132 onto the seed layer 140, the plating current density or voltage can be increased momentarily beyond the mass transport limit of the plating bath formulation. High current pulse plating can be employed to form the nanoparticles 150. The plating condition can be chosen to generate a layer or region of nanoparticles 150 without incorporating undesirable impurities within the layer or region.


For example, to plate the first portion 132 onto the seed layer 140, a copper plating bath containing organic additives, suppressors, brighteners, levelers, or various combinations thereof, can be used, with current densities between 10 and 40 mA/cm2. Preferably, plating can be performed at current densities below the mass transport limit of the bath formulation, for a sufficient time to permit the first portion to be plated up to the height H shown in FIG. 2C.


To initiate depositing of the nanoparticles 150 onto the top surface 146, the plating current density can then be momentarily increased beyond the mass transport limit of the bath. The nanoparticles 150 can be deposited onto the top surface 146 by cycling the plating current density above and below the mass transport limit of the plating bath chemistry. In one example, the process of depositing the nanoparticles 150 onto the top surface 146 can comprise plating for 3 to 15 milliseconds above the mass transport limit and plating for 20 to 50 milliseconds below the mass transport limit of the plating bath.


The electrolytic deposition bath used to deposit the nanoparticles 150 onto the top surface 146 of the first portion 132 may be the same bath or a different bath than the one that is used to deposit the metal of the first portion 132 onto the seed layer 140.


In another embodiment, the first portion 132 can be plated onto the seed layer 140 using an additive metal plating bath, while the nanoparticles 150 can be deposited onto the top surface 146 of the first portion with a metal plating bath containing no organic additives. In some examples, metal grain refiners can be included in the plating bath, provided that the grain refiners do not introduce a large amount of undesirable impurities in the plated layer or region of nanoparticles 150.


In some embodiments, the metal comprising the first portion 132 can be different from metal comprising the nanoparticles 150. For example, the first portion 132 can comprise a metal or alloy deposited using a copper, gold, or nickel plating bath, and the nanoparticles 150 can comprise a lower-melting-point material, for example, gallium, indium, tin, and/or their respective alloys.


In another embodiment, the nanoparticles 150 deposited on the opposing top surfaces 146 and 146′ of the first and second portions 132, 134 can comprise the same metal or different metals. For example, nanoparticles 150 comprising tin or tin alloys can be deposited or coated onto the top surface 146 of the first portion 132, while nanoparticles 150 comprising indium, gallium, or their respective alloys can be deposited or coated onto the top surface 146′ of the second portion 134.


In a particular embodiment, after depositing of the first portion 132 onto the seed layer 140 using an electrolytic process, for example, the first substrate 110 can be cleaned and transferred into an electroless plating bath to deposit the nanoparticles 150 onto the top surface 146 of the first portion. For example, during electroless plating of the nanoparticles 150, an initially-deposited layer or region of the nanoparticles can be smooth and non-particulate, but the metal reduction stage of the electroless plating may be catalytically enhanced to initiate the depositing of a non-planar layer or region of nanoparticles onto the initially-deposited layer or region. The non-planar deposition of the nanoparticles 150 can be continued for a sufficient time to deposit the desired total thickness of the nanoparticles.


In some applications, the electroless bath can be partially decomposed to generate nanoparticles 150 of a metal of interest. The generated nanoparticles 150 can selectively coat and adhere to the top surface 146 of the first portion 132. The unwanted particulate can be catalytically or oxidatively dissolved in another overflow in-process chamber, and the bath can be recycled to deposit more nanoparticles.


Next, as shown in FIG. 2E, the photoresist layer 142 may be removed, and then a dielectric protective mask 152 (e.g., a photoresist layer) may then be selectively deposited over the nanoparticles 150 and at least a portion of the sidewalls 154 of the first portion 132, to protect the nanoparticles and the first portions during removal of portions of the seed layer 140. The protective mask 152 may also extend over portions of the seed layer 140 where it is desired to have conductive traces extending along the major surface of the first substrate 110. In some embodiments, the protective mask 152 may not be needed. For example, in an embodiment in which the nanoparticles 150 comprises a material that is different from the material of the seed layer 140, the deposited layer of nanoparticles can be used as a protective mask for the first portion 132 during removal of the seed layer.


Then, the seed layer 140 may be removed at locations along the major surface 112 of the first substrate 110 where it is not desired to have conductive material (e.g., between adjacent ones of the first portions 132). After the excess portions of the seed layer 140 are removed, the protective mask 152 may be removed. In some embodiments, the portions of the seed layer 140 that are not removed may form part of the conductive column 130 that extends between the major surfaces 112 and 122.


Then, as shown in FIG. 2F, the first portion 132 extending from the major surface 112 of the first substrate 110 may be joined with the second portion 134 extending from the major surface 122 of the second substrate 120. The second portion 134 may be formed using the same method steps shown and described with reference to FIGS. 2A-2E including application of the nanoparticles 150 thereon, or alternatively, the nanoparticles may be applied only to one of the first and second portions 132, 134 and not to the other portion.


To join the first portion 132 and the second portion 134 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 100 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 132 and the second portion 134 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 132 and the second portion 134 can be brought into contact with one another, such that the nanoparticles 150 that were applied to one or both of the surfaces 146, 146′ of the respective first and second portions can then join together to form a layer having a thickness T in the third direction D3 by up to 3 microns, or between 0.02 microns and 3 microns, or between 0.05 microns and 3 microns, for example. Therefore, the nanoparticles 350 can compensate for gaps or non-planarity between confronting corresponding surfaces 146, 146′ of the respective first and second portions 132, 134. In one example, the surfaces 146 of the first portions 132 can at least partially confront the surfaces 146′ of the second portion 134, the surfaces of at least some of the first portions being non-coplanar with respect to one another, and/or the surfaces of at least some of the second portions being non-coplanar with respect to one another.


Such gaps between the confronting corresponding top surfaces 146, 146′ can be due to non-planarity among the top surfaces of a plurality or array of first portions 132 and/or non-planarity among the top surfaces of a corresponding plurality or array of second portions 134. In one example, during this juxtaposing step, the layer of nanoparticles 150 can be compressed by different distances among different ones of the juxtaposed first and second portions 132, 134, due to the non-planarity among the top surfaces of the first and second portions. In such an example, the thickness of the resulting bond region 136 can vary by up to 3 microns so as to accommodate the non-coplanarity of the top surfaces 146, 146′ of at least some of the first and second portions 132, 134.


Then, at least interfaces of the juxtaposed first and second portions 132, 134 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 132, 134, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 150 may diffuse into both the first portion 132 and the second portion 134, thereby forming a metallurgical joint and joining the first and second portions together into a conductive column 130, as can be seen in FIG. 1.


Although the joining methods herein are described such that the top surfaces 146, 146′ of the first and second portions 132, 134 are joined with one another, that need not be the case. In some examples, the edge surfaces or sidewalls 154 of the first and second portions 132, 134 may be joined to one another, or an edge surface of one of the first and second portions can be joined with a top surface of another one of the first and second portions. Also, although the joining top surfaces 146, 146′ or edge surfaces 154 are shown as being planar, that need not be the case. Any or all of such top surfaces 146, 146′ or edge surfaces 154 of one or both of the first and second portions 132, 134 to be joined can be planar or non-planar (e.g., convex, concave, non-linear, angled, multi-faceted, etc.).


In one example, one or both of the first and second portions 132, 134 can be formed on an electrically conductive trace or an electrically conductive pad at the major surface 112 and/or 122. In a particular embodiment, one or both of the first and second substrates 110, 120 can contain active and/or passive devices (e.g., capacitors, resistors, etc.) therein. In some embodiments, mechanical or optical elements (e.g., an optical cover) may be disposed over one or both of the first and second substrates 110, 120. The formed conductive column 130 can be used to perform electrical functions (e.g., carry signals or a reference potential), mechanical functions (e.g., absorb mechanical stress between the first and second substrates) and/or thermal functions (e.g., heat transfer purposes).



FIG. 3 shows an assembly 300 that is a variation of the assembly 100 shown and described above with reference to FIG. 1. The assembly 300 is the same as the assembly 100 described above, except that the conductive column 330 has one or more scalloped or jagged sidewall surfaces 337 throughout the height of the column, showing structural evidence of nanoparticles deposited thereon, rather than having a scalloped or jagged sidewall surface located only in or adjacent the bond region 336. Also, as will be described below with reference to FIGS. 4B and 4C, the nanoparticles 350 are deposited onto the first and second portions 332, 334 by electroless or electrolytic deposition.


In the embodiment shown in FIGS. 3 through 4C, the nanoparticles 350 are deposited along portions of or the entire sidewalls 354 of the first and second portions 332, 334. Furthermore, the jagged surface 337 formed at the bond region 336 and at the sidewalls 354 may have a surface roughness that is greater than 5 nanometers.


A method of fabricating the assembly 300 (FIG. 3) will now be described, with reference to FIGS. 4A-4C. The method of fabricating the assembly 300 may begin in the same way as the method steps described above with reference to FIGS. 2A-2C. Next, referring to FIG. 4A, after the first portion 332 is formed, the photoresist layer 142 (FIG. 2C) may be removed.


Then, the seed layer 340 may be removed at locations along the major surface 312 of the first substrate 310 where it is not desired to have conductive material (e.g., between adjacent ones of the first portions 332). The portions of the seed layer 340 that are not removed may form part of the conductive column 330 that extends between the major surfaces 312 and 322.


Next, as shown in FIG. 4B, nanoparticles 350 are deposited onto the top surface 346 and sidewalls 354 of the first portion 332. In one example, the nanoparticles 350 can completely or substantially completely cover the top surface 346 and the edge surfaces or sidewalls 354 of the first portion 332. In this embodiment, the first portion 332 can be a unitary substantially rigid metal post or conductive pad or conductive trace, and the top surface 346 can project a height H (FIG. 4A) above the major surface 312 such that the top surface is remote from the major surface. The first portion 332 can define edge surfaces or sidewalls 354 extending at substantial angles away from the top surface 346.


In this example, the nanoparticles 350 are deposited by electroless or electrolytic deposition, in which the first portion 332 is exposed to an electroless or electroless plating bath to deposit the nanoparticles 350. The electroless deposition bath used to deposit the nanoparticles 350 onto the top surfaces 346 and sidewalls 354 of the first portion 332 may be the same bath or a different bath than the one that is used to deposit the metal of the first portion 332 onto the seed layer 340.


Then, as shown in FIG. 4C, the first portion 332 extending from the major surface 312 of the first substrate 310 may be joined with the second portion 334 extending from the major surface 322 of the second substrate 320. The second portion 334 may be formed using the same method steps shown and described with reference to FIGS. 4A and 4B including application of the nanoparticles 350 thereon, or alternatively, the nanoparticles may be applied only to one of the first and second portions 332, 334 and not to the other portion.


To join the first portion 332 and the second portion 334 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 300 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 332 and the second portion 334 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 332 and the second portion 334 can be brought into contact with one another, such that the nanoparticles 350 that were applied to one or both of the top surfaces 346, 346′ of the respective first and second portions can then join together to form a layer having a thickness T in the third direction D3 by up to 3 microns, or between 0.02 microns and 3 microns, or between 0.05 and 3 microns, for example. Therefore, the nanoparticles 350 can compensate for gaps between confronting corresponding top surfaces 346, 346′ of the respective first and second portions 332, 334.


Then, at least interfaces of the juxtaposed first and second portions 332, 334 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 332, 334, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 350 may diffuse into both the first portion 332 and the second portion 334, thereby forming a metallurgical joint and joining the first and second portions together into a conductive column 330, as can be seen in FIG. 3.


In a variation of a portion of the process described above with respect to FIGS. 4A and 4B, after the formation of the first portion 332, the photoresist layer 142 (FIG. 2C) may remain in place, rather than being removed. In such embodiments, portions of the first portion 332 or the photoresist layer 142 may be slightly etched or removed to form a small gap between sidewalls 354 of the first portion and the photoresist layer (e.g., such as the gap G shown in FIG. 6A). After the gap-formation step, the nanoparticles 350 can be deposited onto the top surface 346 and sidewalls 354 of the first portion 332 by the electroless or electrolytic methods described earlier. After the nanoparticles 350 are deposited, a mask (e.g., a mask such as a photoresist layer) may be deposited over the nanoparticles, and the photoresist layer 142 and portions of the seed layer 340 may be removed, thereby producing the structure shown in FIG. 4B.


In this embodiment having nanoparticles 350 deposited onto the top surfaces 346, 346′ and edge surfaces or sidewalls 354 of the first and second portions 332, 334, it may be easier for the edge surfaces of the first and second portions to be joined to one another, or an edge surface of one of the first and second portions to be joined with a top surface of another one of the first and second portions. Thus, having nanoparticles 350 deposited onto the top surfaces 346, 346′ edge surfaces or sidewalls 354 of the first and second portions 332, 334 may permit the corresponding first and second portions to be more misaligned with one another during the joining process than in embodiments without nanoparticles deposited onto the sidewalls of the first and second portions, since joints may be formed between edge surfaces of the first and second portions, or an edge surface of one of the first and second portions and a top surface of another one of the first and second portions.



FIG. 5 shows an assembly 500 that is a variation of the assembly 100 shown and described above with reference to FIG. 1. The assembly 500 is the same as the assembly 100 described above, except that an adhesion layer and/or a barrier layer 560 is deposited over the top surface 546, 546′ and the sidewalls 554 of the first and second portions 532, 534, and a bond layer 562 and a wetting layer 564 are deposited overlying the top surface of the first and second portions before the nanoparticles 550 are deposited. The barrier layer 560 can have a thickness of less than 100 nanometers, for example.


In this embodiment, the nanoparticles 550 can comprise solder, so that bonding of the first and second portions 532, 534 can occur at a very low temperatures, for example, less than 120° C., and at a relatively low pressure or at atmospheric pressure. The use of solder as the nanoparticles 550 can permit rework of the assembly 500. For example, if the assembly 500 is heated above 120° C., the solder may sinter sufficiently to allow the first and second portions 532, 534 to be separated from one another, while the metal of the first and second portions, and the metal of the barrier layer 560 and the bond layer 562 may remain solid. New nanoparticles 550 can then be applied to the first and second portions 532, 534, and the first and second portions can be rejoined.


A method of fabricating the assembly 500 (FIG. 5) will now be described, with reference to FIGS. 6A-6D. The method of fabricating the assembly 500 may begin in the same way as the method steps described above with reference to FIGS. 2A and 2B. Next, referring to FIG. 6A, the first portion 532 or a portion of the mask or photoresist layer 542 can be partially etched, defining a top surface 546 generally facing in the third direction (FIG. 1) that may have a rounded peripheral edge 548.


The etching of the first portion 532 may also proceed along the sidewalls 554 from the top surface 546 to the seed layer 540, defining a gap G between the sidewalls and the photoresist layer 542. In one example, the gap G may extend along the entire height of the sidewalls 554, exposing a portion of the seed layer 540 within the gap. In another example, the gap G may extend along only a portion of the height of the sidewalls 554, not extending down to the seed layer 540. In yet another example, the gap G may extend along the entire height of the sidewalls 554 and partially or entirely through the seed layer 540. In this embodiment, the first portion 532 can be a unitary substantially rigid metal post or conductive pad or conductive trace, and the top surface 546 can project a height H above the major surface 512 such that the top surface is remote from the major surface. The first portion 532 can define edge surfaces or sidewalls 554 extending at substantial angles away from the top surface 546.


Then, as shown in FIG. 6B, a barrier layer 560 is deposited within the opening 544 of the photoresist layer 542, over the top surface 546 and the sidewalls 554 of the first portion 532. In one example, the barrier layer 560 can completely cover the top surface 546 and the edge surfaces or sidewalls 554 of the first portion 532.


Examples of metals that can be suitable for use in the barrier layer 560 can include nickel, tungsten, titanium nitride, tantalum nitride, tantalum silicon nitride, tantalum, tungsten silicon nitride, an alloy including nickel, and combinations thereof. The barrier layer 560 can prevent metal from the nanoparticles 550 (e.g., solder) from diffusing into the metal material of the first portion 532 (e.g., copper).


Next, referring to FIG. 6C, a bond layer 562 and a wetting layer 564 can be deposited overlying the top surface 546 of the first portion 532. The bond layer 562 can be deposited onto a surface of the barrier layer 560. The bond layer 562 can comprise gold, for example. The wetting layer 564 can be deposited overlying the bond layer 562. The wetting layer can comprise solder, for example. In some examples, the bond layer 562 and the wetting layer 564 can be the same material, or can comprise similar materials or alloys of similar materials.


Then, nanoparticles 550 are deposited onto the wetting layer 564. In this example, the nanoparticles 550 are deposited by electrolytic deposition, in which the first portion 532 is exposed to an electrolytic bath at a current density greater than 50 mA/cm2, so as to cause depletion of the plating bath. As described above, the nanoparticles 550 may comprise solder or one or more bond metals such as tin, indium, bismuth, or a combination of two or more of such bond metals.


Next, the photoresist layer 542 may be removed, and then a dielectric protective mask may then be selectively deposited over the first portion 532, to protect the nanoparticles and the first portions during removal of portions of the seed layer 540, as shown an described above with reference to FIG. 2E. Then, the seed layer 540 may be removed at locations along the major surface 512 of the first substrate 510 where it is not desired to have conductive material (e.g., between adjacent ones of the first portions 532). After the excess portions of the seed layer 540 are removed, the protective mask may be removed.


Then, as shown in FIG. 6D, the first portion 532 extending from the major surface 512 of the first substrate 510 may be joined with the second portion 534 extending from the major surface 522 of the second substrate 520. The second portion 534 may be formed using the same method steps shown and described with reference to FIGS. 6A-6C including application of the nanoparticles 550 thereon, or alternatively, the nanoparticles may be applied only to one of the first and second portions 532, 534 and not to the other portion.


To join the first portion 532 and the second portion 534 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 500 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 532 and the second portion 534 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 532 and the second portion 534 can be brought into contact with one another, such that the nanoparticles 550 that were applied to one or both of the top surfaces 546, 546′ of the respective first and second portions can then join together to form a layer having a thickness T in the third direction D3 by up to 3 microns, or between 0.5 microns and 3 microns, for example. Therefore, the nanoparticles 550 can compensate for gaps between confronting corresponding top surfaces 546, 546′ of the respective first and second portions 532, 534.


Then, at least interfaces of the juxtaposed first and second portions 532, 534 can be heated to a joining or sintering temperature, which preferably is below 120° C., at a relatively low pressure. At the joining temperature and sufficient pressure, the nanoparticles 550 may diffuse into the wetting layers 564 of both the first portion 532 and the second portion 534, thereby forming a bond region 536 from the nanoparticles and the wetting layers, and forming a metallurgical joint and joining the first and second portions together into a conductive column 530, as can be seen in FIG. 5. In one example, the bond region 536 can be located between the barrier layer 560 of the first portion 532 and the top surface 546′ of the corresponding second portion 534.



FIG. 7 shows an assembly 700 that is a variation of the assembly 500 shown and described above with reference to FIG. 5. The assembly 700 is the same as the assembly 500 described above, except the bond layer is omitted, and the wetting layer 764 is deposited directly onto the barrier layer 760 before the nanoparticles 750 are deposited. In this embodiment, the wetting layer 764 and the nanoparticles 750 can each comprise gold, for example.


A method of fabricating the assembly 700 (FIG. 7) will now be described, with reference to FIGS. 8A and 8B. The method of fabricating the assembly 700 may begin in the same way as the method steps described above with reference to FIGS. 2A, 2B, 6A, and 6B. Next, referring to FIG. 8A, a wetting layer 764 can be deposited overlying the top surface 746 of the first portion 732. The wetting layer 564 can be deposited overlying the bond layer 562. The wetting layer can comprise gold and/or palladium, for example.


Then, nanoparticles 750 are deposited onto the wetting layer 764. In this example, the nanoparticles 750 are deposited by electrolytic deposition, in which the first portion 732 is exposed to an electrolytic bath at a current density greater than 50 mA/cm2, so as to cause depletion of the plating bath. As described above, the nanoparticles 750 may comprise gold.


Next, the photoresist layer 742 may be removed, and then a dielectric protective mask may then be selectively deposited over the first portion 732, as described above with reference to FIG. 2E. Then, the seed layer 740 may be removed at locations along the major surface 712 of the first substrate 710 where it is not desired to have conductive material (e.g., between adjacent ones of the first portions 732). After the excess portions of the seed layer 740 are removed, the protective mask may be removed.


Then, as shown in FIG. 8B, the first portion 732 extending from the major surface 712 of the first substrate 710 may be joined with the second portion 734 extending from the major surface 722 of the second substrate 720. The second portion 734 may be formed using the same method steps shown and described with reference to FIGS. 2A, 2B, 6A, 6B, and 8A including application of the nanoparticles 750 thereon, or alternatively, the nanoparticles may be applied only to one of the first and second portions 732, 734 and not to the other portion.


To join the first portion 732 and the second portion 734 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 700 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 732 and the second portion 734 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 732 and the second portion 734 can be brought into contact with one another, such that the nanoparticles 750 that were applied to one or both of the top surfaces 746, 746′ of the respective first and second portions can then join together to form a layer having a thickness T in the third direction D3 by up to 3 microns, or between 0.5 microns and 3 microns, for example. Therefore, the nanoparticles 750 can compensate for gaps between confronting corresponding top surfaces 746, 746′ of the respective first and second portions 732, 734.


Then, at least interfaces of the juxtaposed first and second portions 732, 734 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 732, 734, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 750 may diffuse into the wetting layers 764 of both the first portion 732 and the second portion 734, thereby forming a bond region 736 from the nanoparticles and the wetting layers, and forming a metallurgical joint and joining the first and second portions together into a conductive column 730, as can be seen in FIG. 7. In one example, the bond region 736 can be located between the barrier layer 760 of the first portion 732 and the top surface 746′ of the corresponding second portion 734.


Although the embodiments of FIGS. 1, 3, 5, and 7 were shown and described as having first and second conductive portions 132/332/532/732 and 134/334/534/734 that each are a unitary substantially rigid metal post defining a top surface and edge surfaces or sidewalls extending at substantial angles away from the top surface, that need not be the case.


In some examples, in any of the embodiments described above, either or both of the first and second conductive portions 132/332/532/732 and 134/334/534/734 can be a thin, thin, flat pad of metal, or a metal trace, comprising a material such as copper, aluminum, gold, nickel, or tungsten, deposited with a bottom surface thereof facing the major surface of the respective first or second substrate. For example, referring to FIG. 1, the first conductive portion 132 can be in the form of the first conductive element 131, and the nanoparticles 150 can be deposited directly onto a top surface of the first conductive element, and/or the second conductive portion 134 can be in the form of the second conductive element 133, and the nanoparticles can be deposited directly onto a top surface of the second conductive element. Examples of embodiments having first and second conductive portions that include one or more portions that are not rigid metal posts are shown and described below with reference to FIGS. 9-16.


In some embodiments, the first portions 132/332/532/732 and/or the second portions 134/334/534/734 can be a conductive layer or region conformally or non-conformally deposited into a recess extending below the respective major surface 112/312/512/712 and/or 122/322/522/722, and the first and/or second conductive portions 132/332/532/732 and 134/334/534/734 may or may not fill its respective recess. The nanoparticles 150/350/550/750 can be deposited onto an exposed surface of such a deposited layer or region, and the nanoparticles can be deposited onto a top surface facing away from the respective major surface. Similar to the embodiment of FIGS. 5 through 6D, an adhesion layer and/or a barrier layer can be deposited onto such a deposited layer or region, and the nanoparticles 150/350/550/750 can be deposited onto the adhesion layer and/or the barrier layer. Examples of embodiments having first and/or second conductive portions deposited into recesses are shown and described below with reference to FIGS. 9-16.


In a particular example where the first portions 132/332/532/732 and the second portions 134/334/534/734 are deposited into a recess extending below the respective major surface 112/312/512/712 and/or 122/322/522/722, the first and second portions can each extend to approximately the plane of the respective major surface, and the nanoparticles 150/350/550/750 can be deposited onto a top surface of the first portion and/or second portion. In such an example, when the first and second substrates 110/310/510/710, 120/320/520/720 are joined together by the nanoparticles, the major surfaces 112/312/512/712 and 122/322/522/722 may be touching each other or almost touching each other. Examples of embodiments having major surfaces of the first and second substrates touching each other or almost touching each other are shown and described below with reference to FIGS. 9-16.



FIG. 9 shows an assembly 900 that is a variation of the assembly 100 shown and described above with reference to FIG. 1. The assembly 900 is the same as the assembly 100 described above, except that the joined conductive structure 930 extends into recesses, rather than including two conductive posts. Also, dielectric material of the substrates 910 and 920 are fused to one another along the first and major surfaces, rather than being spaced apart.


As illustrated in FIG. 9 through 10C, an assembly 900 can include a first substrate 910 having a major surface 912 extending in a first direction D1 and in a second direction transverse to the first direction, a second substrate 920 having a major surface 922 extending in the first and second directions, and a conductive structure 930 extending in a third direction D3 transverse to the first and second directions, the structure including an electrical connection between conductive elements 932 and 934 at the major surface 912 and the major surface 922, respectively. Although only a single structure 930 is shown and described with reference to FIG. 9, it is to be understood that the first and second substrates 910, 920 may be joined by an m×n array of conductive structures, one or both of m and n being greater than one. The structure 930 (and the other conductive structures described herein) may be used, for example, to carry signals or information, power, heat, or a reference potential, between the first substrate 910 and the second substrate 920.


In some embodiments, one or both of the substrates 910 and 920 can be a semiconductor chip, a wafer, glass, ceramic, glass-ceramic, a polymer, a substrate, a microelectronic package, composite material, a flat panel, or the like, and combinations thereof. One or both of the substrates 910 and 920 may consist essentially of an inorganic material such as silicon. The thickness of one or both of the substrates 910 and 920 between its major surface and a respective second surface 914 or 924 opposite to the major surface can be less than 500 μm, and can be significantly smaller, for example, 930 μm, 70 μm or even smaller.


In some embodiments, one or both of the substrates 910 and 920 can be made from a material such as semiconductor material, ceramic, glass, liquid crystal material, a composite material such as glass-epoxy or a fiber-reinforced composite, a laminate structure, or a combination thereof. In some embodiments, one or both of the substrates 910 and 920 can be a supporting dielectric element, e.g., a tape used in tape automated bonding (“TAB”). In one example, one or both of the substrates 910 and 920 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of less than 90 ppm/° C. In a particular embodiment, the substrate 902 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of between about 90 and about 20 ppm/° C. In one particular embodiment, one or both of the substrates 910 and 920 can consist essentially of a dielectric element having a coefficient of thermal expansion in a plane of the substrate of between about 90 and about 20 ppm/° C. and an out-of-plane coefficient of thermal expansion between about 95 and about 60 ppm/° C. In one example, one or both of the substrates 910 and 920 can have a Young's modulus of less than 4 GPa. In exemplary embodiments, one or both of the substrates 910 and 920 can have a Young's modulus of less than 100 GPa.


One or both of the substrates 910 and 920 can further include an insulating dielectric layer (not shown) overlying the respective major surface 912 or 922 and/or the respective second surface 914 or 924. Such dielectric layers can electrically insulate conductive elements such as the structure 930 from the substrate, when the substrate comprises an electrically conductive material or a semiconductor material. These dielectric layers can be referred to as “passivation layers” of the substrate. Such dielectric layers can include an inorganic or organic dielectric material or both. Such dielectric layers can include an electrodeposited conformal coating or other dielectric material, for example, a photoimageable polymeric material, for example, a solder mask material.


One or both of the substrates 910 and 920 can further include conductive structure therein, such as the conductive structure 116, 126 shown in FIG. 1. Such conductive structure can include traces extending along one or both of the major and second surfaces, conductive interconnects or conductive vias extending between or in a direction between the respective major surface 912 or 922 and/or the respective second surface 914 or 924, and terminals such as the terminals 118, 128 shown in FIG. 1 at the respective second surface for electrical connection with a component external to the assembly 900.


In embodiments where one or both of the substrates 910 and 920 include a semiconductor substrate, made for example from silicon, one or a plurality of semiconductor devices (e.g., transistors, diodes, etc.) can be disposed in an active device region thereof located at and/or below the respective major surface 912 or 922. In one example, an active device region of one or both of the substrates 910 and 920 can be electrically connected with the corresponding first and second conductive elements 932 and 934.


The conductive structure 930 can include a first electrically conductive element or portion 932 at the major surface 912 of the first substrate 910 and a second electrically conductive element or portion 934 at the major surface 922 of the second substrate 920. Each of the first and second portions 932, 934 can be a metal region disposed within a corresponding recess 902, 904 extending below the respective major surface 912 or 922 in the third direction D3 or in a direction opposite the third direction.


The first and second portions 932, 934 can each include a conductive material such as copper, aluminum, tungsten, solder, lead, tin, gallium, gold, nickel, indium, silver, an alloy including copper, an alloy including nickel, an alloy including tungsten, or a combination of one or more of the aforementioned materials, among others. In one example, each of the first and second portions 932, 934 can consist essentially of copper. The first and second portions 932, 934 can each comprise the same metal, or the first portion may comprise a different metal than the second portion. In some embodiments, one or both of the first and second portions 932, 934 can comprise a barrier layer or barrier material.


The conductive structure 930 can include a bond region 936 that can include structural evidence of nanoparticles having been joined together in a prior bonding operation. The bond region 936 may or may not comprise any remaining liquid, e.g., surfactant or solvent. In one example, the bond region 936 can penetrate at least partially into each of the first and second portions 932, 934. Such nanoparticles can also be arranged as dendritic deposits at one or more surfaces of metal regions that can comprise the first and second portions 932, 934 of the conductive structure 930.


In one example, the bond region 936 can include a layer of nanoparticles consisting essentially of at least one of copper, gold, nickel, silver, alloys including silver, gallium, indium, alloys of gallium or indium, tin, bismuth, eutectic metal alloys, another metal, or a combination of metals. The nanoparticles can be coated with a very thin protective or non-protective layer of material (e.g., gallium, indium, tin, nickel), and the protective layer can be continuous or discontinuous. Such a protective or non-protective layer of material can lower the melting point of the nanoparticles. In one example, nanoparticles deposited onto the first portion 932 can be coated with gallium, and nanoparticles deposited onto the second portion 934 can be coated with indium, which can lower the melting point of the nanoparticles. Such a protective or non-protective layer of material can have a thickness of 5-10 nanometers, for example. Further details about such a protective or non-protective layer of material on nanoparticles can be found in U.S. Pat. No. 9,024,205, which is hereby incorporated herein by reference.


Joining the first and second substrates 910, 920 together at a lower temperature (e.g., less than 200° C.) compared to that of conventional joining techniques can improve the structure of the final assembly 900, and can also benefit the process of making the assembly, which can improve yield and efficiency, thereby reducing fabrication cost. Typically, first and second portions 932, 934 (e.g., in the form of electrically conductive metal regions) of each substrate 910, 920 are juxtaposed in alignment with one another at a temperature just below the joining temperature. Then, the aligned posts are moved into contact with one another, and the assembly is heated to the joining temperature, at which time the nanoparticles on the respective first and second portions 932, 934 bond, such that the structures 930 form. In some embodiments, the nanoparticle regions between the substrates can fuse at room temperature when the mating regions touch. Subsequent higher-temperature processing can serve to improve both the mechanical and electrical integrity of the room-temperature joint. The substrate joining ambient environment can be inert, reducing, or a vacuum. In some applications, metallic oxide reducing fluids can be flowed around the substrates during the joining operation. In one embodiment, the fluid in the joining chamber can comprise a compound that enhances grain growth or grain growth rate in metals, for example, alcohol dispersed in an inert gas such as nitrogen.


After the first and second portions 932, 934 are joined together by nanoparticles or nanomaterials in any form, the bond region 936 that joins the first and second portions together can show structural evidence that nanoparticles were used to join the first and second portions. For example, during joining of the first and second portions 932, 934, nanoparticles can diffuse into the first and second portions. After joining, the metal formerly comprising the nanoparticles are no longer in the form of clusters of nanoparticles having long dimensions typically smaller than about 100 nanometers.


The bond region 936 that joins the first and second portions 932, 934 together can show other structural evidence that nanoparticles were used to join the first and second portions, including the contour of the surface of the bond region. The bond region 936 may have a scalloped or jagged surface 937 that generally extends in a circumferential direction about the structure 930. The surface 937 of the bond region 936 may have a higher degree of surface roughness than the surface roughness of the first and second portions 932, 934. For example, the surface 937 may have a surface roughness that is greater than 30 nanometers. In one example, the surface 937 may have a surface roughness that is greater than 3.0 nanometers. Another example of structural evidence that nanoparticles were used to join the first and second portions 932, 934 can be the presence of microvoids within the bond region 936. Such structural evidence of microvoids can be the same as described above with reference to the assembly 100.


In embodiments in which the first and second substrates 910, 920 are joined by an array of conductive structures 930, the thickness T2 of the bond region 936 in the third direction D3 may vary among the array of conductive structures by up to 3 microns, or between 0.5 microns and 3 microns, for example. The variation in the thickness of the bond regions 936 among the conductive structures 930 may be due to non-planarity among the top surfaces of an array of first portions 932 and/or non-planarity among the top surfaces of a corresponding array of second portions 934 before the corresponding first and second portions are joined to one another, as will be described below. In one embodiment, one of the first and second portions 932, 934 can be an electrically conductive trace or an electrically conductive pad (e.g., a flat piece of metal in the shape of a circle, oval, square, or rectangle). Thus, nanomaterials can be used to attach a conductive post to a conductive trace or a conductive pad at the major surface 912 or 922.


A method of fabricating the assembly 900 (FIG. 9) will now be described, with reference to FIGS. 10A-10C. Referring to FIG. 10A, one or more recesses 902 may be formed extending below the major surface 912 in a direction opposite the third direction D3. The recess 902 may be etched or formed using any other method known in the art. To control the location of one or more recess 902, a photoimageable layer such as a photoresist layer can be deposited and patterned to cover only portions of the major surface 912. The photoresist layer can have openings at locations along the major surface 912 where it is desired to form structures 930.


After the recess 902 is formed, a continuous metal seed layer (e.g., copper) may be deposited into the recess, or onto a dielectric layer overlying inner surfaces 903 of the recess if the substrate comprises an electrically conductive material or a semiconductor material. The seed layer can be deposited by various methods, including atomic layer deposition (ALD), physical vapor deposition (PVD), or electroless or electrolytic deposition methods. The seed layer can comprise copper, for example. The seed layer can also include an adhesion layer, a barrier layer, or both.


After the seed layer is formed, the same or a different photoimageable layer such as a photoresist layer can be deposited and patterned to cover only portions of the major surface 912. The photoresist layer can have openings at locations along the major surface 912 where it is desired to form structures 930.


Then, as shown in FIG. 10A, the first portion 932 of the structure 930 can be formed by depositing one or more conductive materials (e.g., copper) into the recesses 902 in contact with the seed layer. In this example, the first portion 932 is deposited by electrolytic deposition. The first portion 932 can extend from the seed layer in the third direction D3.


Next, the first portion 932 can be partially etched, defining a top surface 946 of the first portion generally facing in the third direction D3 that may have a rounded peripheral edge (similar to the rounded peripheral edge 148 shown in FIG. 2C). This partial or mild etching step can expose high-index metal planes to permit the nanoparticles to nucleate. The first portion 932 can be a unitary substantially rigid metal region, and the top surface 946 can be recessed a distance D below the major surface 912. The first portion 932 can define edge surfaces or sidewalls 954 extending at substantial angles away from the top surface 946.


Then, nanoparticles 950 can be deposited onto the top surface 946 of the first portion 932. In this example, the nanoparticles 950 are deposited by electrolytic deposition, in which the first portion 932 is exposed to an electrolytic bath at a current density greater than 50 mA/cm2, so as to cause local depletion of metal ions at the top surface 946 during the plating step.


In one embodiment, after plating the first portion 932 onto the seed layer, the plating current density or voltage can be increased momentarily beyond the mass transport limit of the plating bath formulation. High current pulse plating can be employed to form the nanoparticles 950. The plating condition can be chosen to generate a layer or region of nanoparticles 950 without incorporating undesirable impurities within the layer or region.


For example, to plate the first portion 932 onto the seed layer, a copper plating bath containing organic additives, suppressors, brighteners, levelers, or various combinations thereof, can be used, with current densities between 10 and 40 mA/cm2. Preferably, plating can be performed at current densities below the mass transport limit of the bath formulation, for a sufficient time to permit the first portion to be plated up to the height shown in FIG. 10A.


To initiate depositing of the nanoparticles 950 onto the top surface 946, the plating current density can then be momentarily increased beyond the mass transport limit of the bath. The nanoparticles 950 can be deposited onto the top surface 946 by cycling the plating current density above and below the mass transport limit of the plating bath chemistry. In one example, the process of depositing the nanoparticles 950 onto the top surface 946 can comprise plating for 3 to 15 milliseconds above the mass transport limit and plating for 20 to 50 milliseconds below the mass transport limit of the plating bath. The electrolytic deposition bath used to deposit the nanoparticles 950 onto the top surface 946 of the first portion 932 may be the same bath or a different bath than the one that is used to deposit the metal of the first portion onto the seed layer.


In another embodiment, the first portion 932 can be plated onto the seed layer using an additive metal plating bath, while the nanoparticles 950 can be deposited onto the top surface 946 of the first portion with a metal plating bath containing no organic additives. In some examples, metal grain refiners can be included in the plating bath, provided that the grain refiners do not introduce a large amount of undesirable impurities in the plated layer or region of nanoparticles 950.


In some embodiments, the metal comprising the first portion 932 can be different from metal comprising the nanoparticles 950. For example, the first portion 932 can comprise a metal or alloy deposited using a copper, gold, or nickel plating bath, and the nanoparticles 950 can comprise a lower-melting-point material, for example, gallium, indium, tin, and/or their respective alloys.


In another embodiment, the nanoparticles 950 deposited on the opposing top surfaces 946 and 946′ of the first and second portions 932, 934 can comprise the same metal or different metals. For example, nanoparticles 950 comprising tin or tin alloys can be deposited or coated onto the top surface 946 of the first portion 932, while nanoparticles 950 comprising indium, gallium, or their respective alloys can be deposited or coated onto the top surface 946′ of the second portion 934.


In a particular embodiment, after depositing of the first portion 932 into the recess 902 using an electrolytic process, for example, the first substrate 910 can be cleaned and transferred into an electroless plating bath to deposit the nanoparticles 950 onto the top surface 946 of the first portion. For example, during electroless plating of the nanoparticles 950, an initially-deposited layer or region of the nanoparticles can be smooth and non-particulate, but the metal reduction stage of the electroless plating may be catalytically enhanced to initiate the depositing of a non-planar layer or region of nanoparticles onto the initially-deposited layer or region. The non-planar deposition of the nanoparticles 950 can be continued for a sufficient time to deposit the desired total thickness of the nanoparticles.


In some applications, the electroless bath can be partially decomposed to generate nanoparticles 950 of a metal of interest. The generated nanoparticles 950 can selectively coat and adhere to the top surface 946 of the first portion 932. The unwanted particulate can be catalytically or oxidatively dissolved in another overflow in-process chamber, and the bath can be recycled to deposit more nanoparticles.


Next, any remaining photoresist layer may be removed, and remaining portions of the seed layer on the major surface 912 may be removed using method similar to those described above with reference to FIG. 2E. During removal of portions of the seed layer, a dielectric mask can be used to cover portions of the seed layer where it is desired to have conductive traces extending along the major surface 912.


Then, as shown in FIGS. 10B and 10C, the first portion 932 extending within the recess 902 of the first substrate 910 may be joined with the second portion 934 extending within the recess 904 of the second substrate 920. The second portion 934 may be formed within a recess 904 extending below the major surface 922 of the second substrate 920 using the same method steps described with reference forming the first portion 932, including application of the nanoparticles 950 thereon, or alternatively, the nanoparticles may be applied only to one of the first and second portions 932, 934 and not to the other portion.


To join the first portion 932 and the second portion 934 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 900 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 932 and the second portion 934 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 932 and the second portion 934 can be brought into contact with one another, such that the nanoparticles 950 that were applied to one or both of the surfaces 946, 946′ of the respective first and second portions can then join together to form a layer having a thickness T1 in the third direction D3 by up to 3 microns, or between 0.02 microns and 3 microns, or between 0.05 microns and 3 microns, for example. Therefore, the nanoparticles 350 can compensate for gaps and/or non-planarity between confronting corresponding surfaces 946, 946′ of the respective first and second portions 932, 934. In one example, the surfaces 946 of the first portions 932 can at least partially confront the surfaces 946′ of the second portion 934, the surfaces of at least some of the first portions being non-coplanar with respect to one another, and/or the surfaces of at least some of the second portions being non-coplanar with respect to one another. Any or all of such top surfaces 946, 946′ of one or both of the first and second portions 932, 934 to be joined can be planar or non-planar (e.g., convex, concave, non-linear, angled, multi-faceted, etc.).


In one example, during this juxtaposing step, the layer of nanoparticles 950 can be compressed by different distances among different ones of the juxtaposed first and second portions 932, 934, due to the non-planarity among the top surfaces of the first and second portions. In such an example, the thickness of the resulting bond region 936 can vary by up to 3 microns so as to accommodate the non-coplanarity of the top surfaces 946, 946′ of at least some of the first and second portions 932, 934.


Then, at least interfaces of the juxtaposed first and second portions 932, 934 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 932, 934, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 950 may diffuse into both the first portion 932 and the second portion 934, thereby forming a metallurgical joint and joining the first and second portions together into a conductive structure 930, as can be seen in FIG. 10C.


In one example, the major surfaces 912 and 922 can be juxtaposed with one another before the temperature of the devices is raised to a temperature at which the first and second portions 932, 934 are joined. The major surfaces 912 and 922 can comprise a dielectric adhesive material and/or a partially cured B-stage polymer material, such that before heating of the assembly 900 or during heating of the assembly 900, the major surfaces are directly bonded with one another. In a particular embodiment, the first and second substrates 910, 920 are juxtaposed with one another so that the major surfaces 912, 922 directly bond with one another, and such that the first portions 932 confront the second portions 934. This juxtaposing may be performed at an initial temperature, which may be room temperature or a relatively low temperature. In one example, the dielectric material at the major surfaces 912, 922 can each include a B-stage material layer that is not fully cured, and when the temperature of the assembly 900 is raised, the B-stage material layers can be fully cured, thereby directly bonding the major surfaces to one another.


Then, after the major surfaces 912, 922 are bonded to one another, the assembly 900 can be raised to a higher joining temperature so that the nanoparticles 950 may diffuse into both the first portion 932 and the second portion 934, thereby forming a metallurgical joint and joining the first and second portions together into a conductive structure 930. During the joining of the major surfaces 912, 922 and/or during joining of the first and second portions 932, 934, the assembly 900 may also be subject to increased pressure.


After the major surfaces 912, 922 are bonded to one another and the first and second portions 932, 934 are bonded to one another, the thickness of the bond region 936 in the third direction D3 may decrease from T1 (FIG. 10C) to T2 (FIG. 9), thereby bringing the confronting surfaces 946, 946′ of the respective first and second portions 932, 934 closer to one another, as shown in FIG. 9. This reduction in the thickness of the bond region 936 in the third direction D3 can be caused by cross-linking of polymers between the major surfaces 912, 922 when the major surfaces are bonded together and/or fully cured together.


In one example, one or both of the first and second portions 932, 934 can be formed on an electrically conductive pad or portions of an electrically conductive trace disposed within the respective recesses 902, 904. In an exemplary embodiment, one or both of the first and second portions 932, 934 can be an electrically conductive pad or portions of an electrically conductive trace disposed at the respective major surface 912, 922. In a particular embodiment, one or both of the first and second substrates 910, 920 can contain active and/or passive devices (e.g., capacitors, resistors, etc.) therein. In some embodiments, mechanical or optical elements (e.g., an optical cover) may be disposed over one or both of the first and second substrates 910, 920. The formed conductive column 930 can be used to perform electrical functions (e.g., carry signals or a reference potential), mechanical functions (e.g., absorb mechanical stress between the first and second substrates) and/or thermal functions (e.g., heat transfer purposes).



FIG. 11 shows an assembly 1100 that is a variation of the assembly 900 shown and described above with reference to FIG. 9. The assembly 1100 is the same as the assembly 900 described above, except that the conductive structure 1130 has a second portion 1134 that is a metal post extending from a conductive element 1133 disposed below the major surface 1122, and the assembly has a dielectric region 1170 (e.g., an adhesive or an underfill) that is directly bonded to the major surfaces 1112 and 1122. The first substrate 1110 can be the same as the first substrate 910 described above.


The second portion 1134 can extend above the major surface 1122 in a direction opposite the third direction D3, and can include a substantially rigid element such as a vertically-extending portion of metal. The second portion 1134 can have a top surface 1146′ that can project a height H1 (FIG. 12A) above the major surface 1122 such that the top surface is remote from the major surface. The second portion 1134 can define edge surfaces or sidewalls 1154 extending at substantial angles away from the top surface 1146.


In one example, the conductive element 1133 can be a layer including electrically conductive traces extending parallel to the major surface 1122, electrically conductive vias extending perpendicular to the major surface, and/or electrically conductive pads. In a particular embodiment, the substrate 1120 can include a first region 1121 and a second region 1123, and the conductive element 1133 can extend between the first and second regions. In one embodiment, the first region 1121 can comprise semiconductor material, and the second region 1123 can comprise a dielectric layer, e.g., a passivation layer such as silicon dioxide. The first region 1121 can have active semiconductor devices at or below the conductive element 1133. In embodiments where the first region 1121 comprises semiconductor material, the conductive element 1133 can be electrically insulated from the semiconductor material by a dielectric layer extending therebetween (not shown). As shown in FIG. 11, the top surface 1146′ of the second portion 1134 does not extend into the recess 1102. However, in other embodiments (e.g., FIGS. 13-14B), the top surface of the second portion can extend from the second substrate into the recess below the major surface of the first substrate.


A method of fabricating the assembly 1100 (FIG. 11) will now be described, with reference to FIGS. 12A-12B. The first portion 1132 can be formed in the same way as the first portion 932 described above. The second portion 1134 can be formed in a similar manner as any of the second portions 134/334/534/734 described above. In one example, the recess 1104 can be formed by etching at one or more locations along the major surface 1122 of the substrate 1120 until a top surface of the conductive element 1133 is exposed within the recess.


Next, nanoparticles 1150 can be deposited onto the first and second portions 1132, 1134, or alternatively, the nanoparticles may be applied only to one of the first and second portions and not to the other portion. For example, as shown in FIG. 12A, nanoparticles 1150 can be deposited onto the top surface 1146′ and portions of the sidewalls 1154 of the second portion 1134 that extend above the major surface 1122. In one example, the nanoparticles 1150 can completely or substantially completely cover the top surface 1146 and the edge surfaces or sidewalls 1154 of the second portion 1132. Nanoparticles 1150 can also be deposited onto the top surface 1146 of the first portion 1132 within the recess 1102. The nanoparticles 1150 can be deposited using any of the methods described above with respect to depositing the nanoparticles 150/350/550/750/950.


Then, as shown in FIG. 12B, the first portion 1132 disposed below the major surface 1112 of the first substrate 1110 may be joined with the second portion 1134 extending from the major surface 1122 of the second substrate 1120. To join the first portion 1132 and the second portion 1134 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 1100 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 1132 and the second portion 1134 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 1132 and the second portion 1134 can be brought into contact with one another, such that the nanoparticles 1150 that were applied to one or both of the top surfaces 1146, 1146′ of the respective first and second portions can then join together to form a layer having a thickness T1 in the third direction D3 by up to 3 microns, or between 0.02 microns and 3 microns, or between 0.05 and 3 microns, for example. Therefore, the nanoparticles 1150 can compensate for gaps between confronting corresponding top surfaces 1146, 1146′ of the respective first and second portions 1132, 1134.


Then, at least interfaces of the juxtaposed first and second portions 1132, 1134 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 1132, 1134, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 1150 may diffuse into both the first portion 1132 and the second portion 1134, thereby forming a metallurgical joint and joining the first and second portions together into a conductive structure 1130, as can be seen in FIG. 11.


Next, as shown in FIG. 11, a dielectric region 1170 (e.g., an adhesive or an underfill) can be deposited between the major surface 1112 and 1122. The dielectric region 1170 can be directly bonded to the major surfaces 1112 and 1122. The dielectric region 1170 can fill the gap G1 that separates the major surfaces 1112 and 1122, so the dielectric region can have a thickness G1. The dielectric region 1170 can electrically insulate the conductive structures 1130 from one another, and the dielectric region can protect the conductive structures from moisture and/or other contaminants.



FIG. 13 shows an assembly 1300 that is a variation of the assembly 1100 shown and described above with reference to FIG. 11. The assembly 1300 is the same as the assembly 1100 described above, except that the top surface 1346′ of the second portion 1334 extends into the recess 1302, and the major surface 1312 can be directly bonded with the major surface 1322 without the use of an underfill.


The first substrate 1310 can be similar to the first substrate 1110 described above, although as can be seen in FIG. 13, the top surface 1346 of the first portion 1332 may be recessed by a distance A2 below the major surface, which may be greater than a distance A1 that the top surface of the first portion 1132 is recessed below the major surface 1112 shown in FIG. 11.


The second portion 1334 can extend above the major surface 1322 in a direction opposite the third direction D3, and can include a substantially rigid element such as a vertically-extending portion of metal. The second portion 1334 can have a top surface 1346′ that can project a height H2 (FIG. 14A) above the major surface 1322 such that the top surface is remote from the major surface. The second portion 1334 can define edge surfaces or sidewalls 1354 extending at substantial angles away from the top surface 1346.


The height H2 that the top surface 1346′ of the second portion 1334 projects above the major surface 1322 can be approximately equal to or less than the distance A2 that the top surface 1346 of the first portion 1332 is recessed below the major surface 1312, so that when the top surface 1346, 1346′ are joined to one another, the major surface 1312 can be directly bonded with the major surface 1322 without the use of an underfill.


A method of fabricating the assembly 1300 (FIG. 13) will now be described, with reference to FIGS. 12A-12B. The first portion 1332 can be formed in the same way as the first portion 1132 described above. The second portion 1334 can be formed in a similar manner as any of the second portions 134/334/534/734 described above.


Next, nanoparticles 1350 can be deposited onto the first and second portions 1332, 1334, or alternatively, the nanoparticles may be applied only to one of the first and second portions and not to the other portion. For example, as shown in FIG. 14A, nanoparticles 1350 can be deposited onto the top surface 1346′ and portions of the sidewalls 1354 of the second portion 1334 that extend above the major surface 1322. In one example, the nanoparticles 1350 can completely or substantially completely cover the top surface 1346 and the edge surfaces or sidewalls 1354 of the second portion 1332. Nanoparticles 1350 can also be deposited onto the top surface 1346 of the first portion 1332 within the recess 1302. The nanoparticles 1350 can be deposited using any of the methods described above with respect to depositing the nanoparticles 150/350/550/750/950.


Then, as shown in FIG. 14B, the first portion 1332 disposed below the major surface 1312 of the first substrate 1310 may be joined with the second portion 1334 extending from the major surface 1322 of the second substrate 1320. To join the first portion 1332 and the second portion 1334 with one another, at least interfaces of the juxtaposed first and second portions of the assembly 1300 may be heated to a temperature that is close to the joining or sintering temperature. Then, the first portion 1332 and the second portion 1334 are juxtaposed with one another, and the first and second portions can be aligned with one another in the first and second lateral directions.


Next, the first portion 1332 and the second portion 1334 can be brought into contact with one another, such that the nanoparticles 1350 that were applied to one or both of the top surfaces 1346, 1346′ of the respective first and second portions can then join together to form a layer having a thickness T1 in the third direction D3 by up to 3 microns, or between 0.02 microns and 3 microns, or between 0.05 and 3 microns, for example. Therefore, the nanoparticles 1350 can compensate for gaps between confronting corresponding top surfaces 1346, 1346′ of the respective first and second portions 1332, 1334.


Then, at least interfaces of the juxtaposed first and second portions 1332, 1334 can be heated to a joining or sintering temperature, which preferably is below 200° C., more preferably below 180° C., or still more preferably below 150° C., at a relatively low pressure. During joining of the juxtaposed first and second portions 1332, 1334, an initial joining temperature can be below 100° C. before further heat processing at higher temperatures. At the joining temperature and sufficient pressure, the nanoparticles 1350 may diffuse into both the first portion 1332 and the second portion 1334, thereby forming a metallurgical joint and joining the first and second portions together into a conductive structure 1330, as can be seen in FIG. 13.


In one example, the major surfaces 1312 and 1322 can be juxtaposed with one another before the temperature of the devices is raised to a temperature at which the first and second portions 1332, 1334 are joined. The major surfaces 1312 and 1322 can comprise a dielectric adhesive material and/or a partially cured B-stage polymer material, such that before heating of the assembly 1300 or during heating of the assembly 1300, the major surfaces are directly bonded with one another. In a particular embodiment, the first and second substrates 1310, 1320 are juxtaposed with one another so that the major surfaces 1312, 1322 directly bond with one another, and such that the first portions 1332 confront the second portions 1334. This juxtaposing may be performed at an initial temperature, which may be room temperature or a relatively low temperature.


Then, after the major surfaces 1312, 1322 are bonded to one another, the assembly 1300 can be raised to a higher joining temperature so that the nanoparticles 1350 may diffuse into both the first portion 1332 and the second portion 1334, thereby forming a metallurgical joint and joining the first and second portions together into a conductive structure 1330. During the joining of the major surfaces 1312, 1322 and/or during joining of the first and second portions 1332, 1334, the assembly 1300 may also be subject to increased pressure.


After the major surfaces 1312, 1322 are bonded to one another and the first and second portions 1332, 1334 are bonded to one another, the thickness of the bond region 1336 in the third direction D3 may decrease from T1 (FIG. 14B) to T2 (FIG. 13), thereby bringing the confronting surfaces 1346, 1346′ of the respective first and second portions 1332, 1334 closer to one another, as shown in FIG. 13. In a particular example, even after the thickness of the bond region 1336 decreases, the major surfaces 1312, 1322 may be separated by a gap G2, which may optionally be filled with a dielectric region such as an adhesive or underfill.



FIG. 15 shows an assembly 1500 that is a variation of the assembly 900 shown and described above with reference to FIG. 9. Although a variation of the assembly 900 is shown in FIG. 15, the features of the assembly 1500 can be added to any of the assemblies 100/300/500/700/900/1100/1300 described above.


The assembly 1500 is the same as the assembly 900 described above, except that the assembly 1500 includes metal elements 1582 and 1584 that are configured to provide electric shielding for the signals that may travel along the conductive structures 1530. FIG. 15 shows the first and second portions 1532, 1534 extending into recesses below the respective major surfaces 1512, 1522. The first and second portions 1532, 1534 can be joined by nanoparticles 1550 in the same way described above with reference to the assembly 900.


The first substrate 1510 has a plurality of metal elements that can be in the form of first traces 1582 extending in a second horizontal direction D2 parallel to the major surface 1512. As shown in FIG. 15, the first traces 1582 can be disposed in a plane parallel to the major surface 1512 at a distance T3 below the major surface. The first traces 1582 can extend in a plane across the first substrate 1510, with breaks in the pattern of traces to permit the first portions 1532 to extend between the first traces without contact between the first traces and the first portions.


The second substrate 1520 has a plurality of metal elements that can be in the form of second traces 1584 extending in a first horizontal direction D1 parallel to the major surface 1522. The second traces 1584 can be disposed in a plane parallel to the major surface 1522 at a distance T4 below the major surface. The second traces 1584 can extend in a plane across the second substrate 1520, with breaks in the pattern of traces to permit the second portions 1534 to extend between the second traces without contact between the second traces and the second portions.


The horizontal directions D2 and D1 in which the first and second traces 1582, 1584 extend can be transverse to one another. In one example, the horizontal directions D2 and D1 can be orthogonal to one another. Having first and second traces 1582, 1584 extending in the respective first and second substrates 1510, 1520 in directions transverse or orthogonal to one another can provide electric shielding for the signals that may travel along the conductive structures 1530, thereby potentially reducing crosstalk between different ones of the conductive structures 1530. In a particular embodiment, one or both of the first and second traces 1582, 1584 can be connected to a reference potential (i.e., electrical ground).



FIG. 16 shows an assembly 1600 that is a variation of the assembly 1500 shown and described above with reference to FIG. 15. The features of the assembly 1600 can be added to any of the assemblies 100/300/500/700/900/1100/1300 described above.


The assembly 1600 is the same as the assembly 1500 described above, except that the assembly 1600 includes metal element 1690 that is configured to provide electric shielding for the signals that may travel along the conductive structures 1630. FIG. 16 shows the first and second portions 1632, 1634 extending into recesses below the respective major surfaces 1612, 1622. The first and second portions 1632, 1634 can be joined by nanoparticles 1650 in the same way described above with reference to the assembly 900.


The first substrate 1610 has a metal element that can be in the form of metal sheet 1690 extending in first and second horizontal transverse directions D1, D2 parallel to the major surface 1612. As shown in FIG. 16, the metal sheet 1690 can be disposed in a plane parallel to the major surface 1612 at a distance T5 below the major surface. The metal sheet 1690 can extend in a plane across the first substrate 1610, with openings extending through the metal sheet in a vertical direction D3 perpendicular to the horizontal directions D1, D2 to permit the first portions 1632 to extend through the metal sheet without contact between the metal sheet and the first portions.


In a particular embodiment, the metal sheet 1690 can alternatively be disposed within the second substrate 1620 in a plane parallel to the major surface 1622 at a distance below the major surface. In such an embodiment, the metal sheet 1690 can extend in a plane across the second substrate 1620, with openings extending through the metal sheet in the vertical direction D3 to permit the second portions 1634 to extend through the metal sheet without contact between the metal sheet and the second portions.


Having the metal sheet 1690 extending in one of the respective first and second substrates 1610, 1620 in a plane parallel to the respective major surface 1612, 1622 can provide electric shielding for the signals that may travel along the conductive structures 1630, thereby potentially reducing crosstalk between different ones of the conductive structures 1630. In a particular embodiment, the metal sheet 1690 can be connected to a reference potential (i.e., electrical ground).


Although the assemblies 1500 and 1600 are shown as having first and second conductive portions 1532/1632 and 1534/1634 having round cross-sections, that need not be the case. For any of the assemblies described herein, the first and second conductive portions can have cross-sections having any shape. For example, one or both of the first and second conductive portions can have circular, oval, square, rectangular, or any other shapes. In one example, one or both of the first and second conductive portions can be disposed in an elongated groove or line exposed at the respective major surface, and/or one or both of the first and second conductive portions can be a solid metal post that is elongated in a horizontal direction parallel to the respective major surface. In a particular example, one or both of the first and second conductive portions can be disposed in a ring-shaped groove exposed at the respective major surface, and/or one or both of the first and second conductive portions can be a solid metal structure that forms a ring shape in the horizontal directions parallel to the respective major surface. In one example, the first and second conductive portions can be joined to form a metallic spacer for a MEMS compartment or other cavity.


Any of the assemblies 100, 300, 500, 700, 900, 1100, 1300, 1500, and 1600 described herein can be modified to include the nanoparticle variations described below. The conductive nanoparticles deposited onto a top surface of one or both of the first and second conductive portions can be made of one material or more than one material. In examples where the conductive nanoparticles deposited onto a top surface of one or both of the first and second conductive portions is made of more than one material, the conductive nanoparticles can include a plurality of layers of conductive particles overlying one or both of the top surfaces.


In one example, two layers of conductive nanoparticles can be deposited onto one or both of the first and second conductive portions. The two layers of conductive nanoparticles can include a first layer of conductive nanoparticles disposed directly onto the respective top surface, and a second layer of conductive nanoparticles disposed on the first layer of conductive nanoparticles. Such a second layer of conductive nanoparticles can comprise at least one material different than at least one material comprising the first layer of conductive nanoparticles. In one example, the first layer of conductive nanoparticles can include a barrier material such as nickel or an alloy including nickel, and the second layer of conductive nanoparticles can include tin or an alloy including tin (e.g., solder). In such an example, the first layer of conductive nanoparticles can be a barrier layer configured to prevent the second layer of conductive nanoparticles from forming an intermetallic with the metal of the first and/or second portion of the conductive column or structure.


In such an embodiment, after the first and second conductive portions are joined to create a conductive column or element, each bond region can include impurities that show structural evidence of first, second, and third layers of electrically conductive nanoparticles. The first and third layers of such a joined structure would be the first layer of conductive nanoparticles that was deposited onto the respective first and second conductive portions, and each of the first and third layers could include a barrier material such as nickel or an alloy including nickel. The second layer of such a joined structure would be the second layer of conductive nanoparticles that was deposited onto the respective first and second conductive portions, and such a second layer could include tin or an alloy including tin (e.g., solder).


In a particular example, where the conductive nanoparticles deposited onto a top surface of one or both of the first and second conductive portions is made of more than one material, the conductive nanoparticles can include three of layers of conductive particles overlying one or both of the top surfaces. The three layers of conductive nanoparticles can include a first layer of conductive nanoparticles disposed directly onto the respective top surface, a second layer of conductive nanoparticles disposed on the first layer of conductive nanoparticles, and a third layer of conductive nanoparticles disposed on the second layer of conductive nanoparticles.


Such a third layer of conductive nanoparticles can comprise at least one material different than at least one material comprising the second layer of conductive nanoparticles, and/or such a third layer of conductive nanoparticles can comprise at least one material different than at least one material comprising the first layer of conductive nanoparticles. In one example, the first layer of conductive nanoparticles can include a seed material such as copper, the second layer of conductive nanoparticles can include a barrier material such as nickel or an alloy including nickel, and the third layer of conductive nanoparticles can include tin or an alloy including tin (e.g., solder). In such an example, the second layer of conductive nanoparticles can be a barrier layer configured to prevent the third layer of conductive nanoparticles from forming an intermetallic with the material of the first layer of conductive nanoparticles and/or metal of the first and/or second portion of the conductive column or structure.


In such an embodiment, after the first and second conductive portions are joined to create a conductive column or element, each bond region can include impurities that show structural evidence of first, second, third, fourth, and fifth layers of electrically conductive nanoparticles. The first and fifth layers of such a joined structure would be the first layer of conductive nanoparticles that was deposited onto the respective first and second conductive portions, and each of the first and fifth layers could include a seed material such as copper. The second and fourth layers of such a joined structure would be the second layer of conductive nanoparticles that was deposited onto the respective first and second conductive portions, and such a second layer could include a barrier material such as nickel or an alloy including nickel. The third layer of such a joined structure would be the third layer of conductive nanoparticles that was deposited onto the respective first and second conductive portions, and such a third layer could include tin or an alloy including tin (e.g., solder).


In a variation of the embodiments described above, one or both of the first and second conductive portions can have a two-layer or three-layer joining structure disposed onto the top surface of the respective conductive portion. In such a variation, at least one of the metal layers in the joining structure can comprise nanoparticles. For example, a first metal layer (e.g., copper or another seed metal) can be disposed on the top surface of one or both of the first and second conductive portions, a second metal layer (e.g., nickel or another barrier metal) can be disposed on the first metal layer, and a third metal layer (e.g., tin or solder) can be disposed on the second metal layer. One, two, or all three of the first, second, and third metal layers can comprise nanoparticles.


In a particular embodiment, before the temperature of the assembly is elevated for joining of the first and second substrates, conductive nanoparticles can be deposited onto one or both of the first and second conductive portions, and a small amount of solder can be disposed onto the conductive nanoparticles on one or both of the first and second conductive portions. In such an example, when the temperature of the assembly is elevated for joining of the first and second conductive portions, the solder can fill gaps between at least some of the conductive nanoparticles via capillary action. Using solder in this way in addition to the conductive nanoparticles can better fill in gaps between the confronting first and second conductive portions, particularly if the top surfaces of one or both of the first and second conductive portions are non-planar.


The assemblies 100, 300, 500, 700, 900, 1100, 1300, 1500, and 1600 described herein can have some potential advantages compared to conventional assemblies. The nanoparticle layers described herein can compensate for a significant degree of non-planarity of the confronting top surfaces of the first and second conductive portions of the respective first and second substrates. For example, as described above, the layer of nanoparticles 150 can be compressed by different distances among different ones of the juxtaposed first and second portions, due to the non-planarity among the top surfaces of the first and second portions, so that the thickness of the resulting bond region 136 can vary by up to 3 microns so as to accommodate the non-coplanarity of the juxtaposed top surfaces of at least some of the first and second portions 132, 134. Such an ability to compensate for non-planarity of the confronting top surfaces may permit less expensive conductive element forming processes to be used that have a larger degree of non-planarity of the first and second conductive portions.


Another potential advantage of the assemblies 100, 300, 500, 700, 900, 1100, 1300, 1500, and 1600 described herein compared to conventional assemblies may be that the lower degree of warpage described above when joining first and second substrates as described herein with nanoparticles having a low joining or sintering temperature (e.g., below 200° C.) may permit use of first and second conductive portions with a smaller top surface area. Therefore, such first and second conductive portions with a smaller top surface area can form conductive columns that are thinner and more flexible, so assemblies having such thinner conductive columns can have better long-term reliability of the electrical connections between the first and second substrates after many repetitions of thermal cycling due to use of the assemblies over time.


Another potential advantage of the assemblies 100, 300, 500, 700, 900, 1100, 1300, 1500, and 1600 described herein compared to conventional assemblies may be that the minimum pitch between adjacent ones of the conductive columns or structures 130, 330, 530, 730, 930, 1130, or 1330 can be reduced. For example, the minimum pitch between adjacent ones of the conductive columns or structures 130, 330, 530, 730, 930, 1130, or 1330 can be 3-5 microns, compared to 20 microns in a conventional assembly.


Although the method steps of FIGS. 2A-2F, FIGS. 4A-4C, 6A-6D, 8A-8B, 10A-10C, 12A-12B, and 14A-14B were described above with reference to forming a single conductive column or structure 130/330/530/730/930/1130/1330 from a single first portion 132/332/532/732/932/1132/1332 and a single second portion 134/334/534/734/934/1134/1334, it is to be understood that the method steps described above can also be used to form a plurality of conductive columns or an m×n array of conductive columns from a plurality or an array of first portions extending from a single first substrate 110/310/510/710/910/1110/1310 and a plurality or an array of corresponding second portions extending from a single second substrate 120/320/520/720/920/1120/1320, one or both of m and n being greater than one.


The method steps of FIGS. 2A-2F, FIGS. 4A-4C, 6A-6D, 8A-8B, 10A-10C, 12A-12B, and 14A-14B described above can also be used to form pluralities or arrays of conductive columns or structures 130/330/530/730/930/1130/1330 on a plurality of sets of corresponding first and second substrates 110/310/510/710/910/1110/1310, 120/320/520/720/920/1120/1320, where the first substrates are initially part of a single first wafer, and the second substrates are initially part of a single second wafer, and the joined first and second wafers can be diced into individual assemblies 100/300/500/700/900/1100/1300 after joining of the corresponding first and second portions 132/332/532/732/932/1132/1332, 134/334/534/734/934/1134/1334.


For example, in one embodiment, a plurality of the first substrates are initially part of a single microelectronic element wafer including a plurality of microelectronic element portions, each microelectronic element portion including a respective subset of the first conductive portions at the respective major surface, and a plurality of the second substrates are initially part of a single substrate panel including a plurality of substrate portions, each substrate portion including a respective subset of the second conductive portions at the respective major surface.


In some of the embodiments disclosed herein, given the close spacing of the major surfaces of the first and second substrates from one another, it may not be possible to deposit underfill between adjacent ones of the joined conductive columns or structures. Underfill may not be needed in such an example, because the joined first and second conductive portions and the confronting major surfaces of the first and second substrates that may be touching each other can provide sufficient structural integrity of the joined assembly without using underfill.


Underfill may not be needed in regions where the local area density of the joined conductive columns or structures is at least 30%, which means that in a given plane extending through the conductive columns or structures and parallel to the major surfaces of the first and second substrates, at least 30% of the planar area is occupied by the conductive columns. If the local area density of the conductive columns is at least 30%, the conductive columns or structures can provide sufficient structural integrity to the joined assembly so that underfill is not needed. In one example, underfill may not be needed where the local area density of the conductive columns is at least 50%.


In such an embodiment not having underfill between adjacent ones of the conductive columns or structures, the first and second substrates can be sealed together with an overmold compound, and/or an outer peripheral boundary of the region having the joined conductive columns or structures can be sealed with a sealant such as a dielectric material like parylene or silicon dioxide, or with underfill, to keep moisture out of the region having the joined conductive columns or structures. However, such a sealant may only need to be applied around an outer peripheral boundary of the region having the joined conductive columns or structures, such that the sealant does not extend between adjacent ones of the joined conductive columns or structures.


Such an assembly of the first and second substrates that is joined together without underfill between adjacent ones of the joined conductive columns or structures can be more resistant to warping than it would be if underfill was used. Underfill may have a relatively high CTE (e.g., 15-25 ppm/° C.) compared to the material of the first and second substrates, so the use of underfill may warp the substrates when they undergo differential thermal expansion due to a temperature change. Without using underfill between adjacent ones of the joined conductive columns or structures, the joined assembly can be flatter and have a lower risk of warpage due to differential thermal expansion. This type of joining structure without underfill may, for example, be used for chip-to-wafer or wafer-to-wafer bonding.


The assemblies described above with reference to FIGS. 1-16 above can be utilized in construction of diverse electronic systems, such as the system 1700 shown in FIG. 17. For example, the system 1700 in accordance with a further embodiment of the invention includes a plurality of modules or components 1706 such as the assemblies as described above, in conjunction with other electronic components 1708, 1710 and 1711.


In the exemplary system 1700 shown, the system can include a circuit panel, motherboard, or riser panel 1702 such as a flexible printed circuit board, and the circuit panel can include numerous conductors 1704, of which only one is depicted in FIG. 17, interconnecting the modules or components 1706, 1708, 1710 with one another. Such a circuit panel 1702 can transport signals to and from each of the microelectronic packages and/or microelectronic assemblies included in the system 1700. However, this is merely exemplary; any suitable structure for making electrical connections between the modules or components 1706 can be used.


In a particular embodiment, the system 1700 can also include a processor such as the semiconductor chip 1708, such that each module or component 1706 can be configured to transfer a number N of data bits in parallel in a clock cycle, and the processor can be configured to transfer a number M of data bits in parallel in a clock cycle, M being greater than or equal to N.


In the example depicted in FIG. 17, the component 1708 is a semiconductor chip and component 1710 is a display screen, but any other components can be used in the system 1700. Of course, although only two additional components 1708 and 1711 are depicted in FIG. 17 for clarity of illustration, the system 1700 can include any number of such components.


Modules or components 1706 and components 1708 and 1711 can be mounted in a common housing 1701, schematically depicted in broken lines, and can be electrically interconnected with one another as necessary to form the desired circuit. The housing 1701 is depicted as a portable housing of the type usable, for example, in a cellular telephone or personal digital assistant, and screen 1710 can be exposed at the surface of the housing. In embodiments where a structure 1706 includes a light-sensitive element such as an imaging chip, a lens 1711 or other optical device also can be provided for routing light to the structure. Again, the simplified system shown in FIG. 17 is merely exemplary; other systems, including systems commonly regarded as fixed structures, such as desktop computers, routers and the like can be made using the structures discussed above.


Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It is therefore to be understood that numerous modifications may be made to the illustrative embodiments and that other arrangements may be devised without departing from the spirit and scope of the present invention as defined by the appended claims.


It will be appreciated that the various dependent claims and the features set forth therein can be combined in different ways than presented in the initial claims. It will also be appreciated that the features described in connection with individual embodiments may be shared with others of the described embodiments.

Claims
  • 1. A method of making an assembly, comprising: juxtaposing a top surface of a first electrically conductive element at a first surface of a first substrate with a top surface of a second electrically conductive element at a major surface of a second substrate, the first surface of the first substrate and the major surface of the second substrate each comprising a dielectric material, wherein the top surface of the first conductive element is recessed below the first surface of the first substrate, and the top surface of the second conductive element is recessed below the major surface of the second substrate, and electrically conductive nanoparticles are disposed between the top surfaces of the first and second conductive elements, the conductive nanoparticles having long dimensions smaller than 100 nanometers;directly bonding the dielectric material of the first surface with the dielectric material of the major surface; andelevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles cause metallurgical joints to form between the juxtaposed first and second conductive elements.
  • 2. The method of claim 1, wherein the electrically conductive nanoparticles are disposed on at least one receiving surface by exposing the at least one receiving surface to an electrolytic bath at a current density greater than the mass transport limiting current density of the electrolytic bath.
  • 3. The method of claim 1, wherein at least one of the first and second conductive elements comprises an electrically conductive pad, or an electrically conductive trace.
  • 4. The method of claim 1, wherein, before the bonding of the first surface with the major surface, the electrically conductive nanoparticles are disposed on the top surface of both of the first and second electrically conductive elements.
  • 5. The method of claim 4, wherein, before the bonding of the first surface with the major surface, the conductive nanoparticles include first and second layers of conductive nanoparticles overlying each top surface, the first layer of conductive nanoparticles disposed on the respective top surface and the second layer of conductive nanoparticles disposed on the first layer of conductive nanoparticles, the second layer of conductive nanoparticles comprising at least one material different than at least one material comprising the first layer of conductive nanoparticles.
  • 6. The method of claim 5, wherein, before the bonding of the first surface with the major surface, the conductive nanoparticles on each top surface include a third layer of conductive nanoparticles formed on the respective second layer of conductive nanoparticles, the third layer of conductive nanoparticles comprising at least one material different than the at least one material comprising the second layer of conductive nanoparticles, the second layer of conductive nanoparticles including a barrier metal configured to prevent metal of the third layer of conductive nanoparticles from penetrating into the first layer of conductive nanoparticles.
  • 7. The method of claim 1, wherein, before the bonding of the first surface with the major surface, the electrically conductive nanoparticles are disposed on the top surface of one of the first or second electrically conductive elements.
  • 8. The method of claim 1, wherein the dielectric material at the first surface and the major surface each include a B-stage material layer that is not fully cured, and during the elevating of the temperature, the B-stage material layers are fully cured.
  • 9. The method of claim 1, wherein each metallurgical joint includes solder extending into microvoids located between at least some of the conductive nanoparticles, each microvoid having a maximum width below 0.5 microns.
  • 10. The method of claim 1, further comprising providing a barrier material between the first conductive element and the conductive nanoparticles prior to the juxtaposing.
  • 11. The method of claim 1, wherein top surface of the first conductive element extends between sidewalls of a recess formed in the first surface of the first substrate.
  • 12. A method of making an assembly, comprising: juxtaposing a top surface of a first electrically conductive element at a first surface of a first substrate with a top surface of a second electrically conductive element at a major surface of a second substrate, the first surface of the first substrate and the major surface of the second substrate each comprising a dielectric material, wherein the first conductive element is a rigid post, the top surface of the rigid post being remote from the first surface of the first substrate and projecting a height above the first surface, the rigid post having edge surfaces extending substantially in a vertical direction away from the top surface thereof;etching the major surface of the second substrate to form a recess extending below the major surface and to expose the top surface of the second conductive element within the recess;after the etching, then depositing a barrier material over the top surface of second conductive element and depositing electrically conductive nanoparticles onto the barrier material, the conductive nanoparticles having long dimensions smaller than 100 nanometers;directly bonding the dielectric material of the first surface with the dielectric material of the major surface; andelevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles cause metallurgical joints to form between the juxtaposed first and second conductive elements.
  • 13. The method of claim 12, wherein the electrically conductive nanoparticles are deposited by an electrolytic bath at a current density greater than the mass transport limiting current density of the electrolytic bath.
  • 14. The method of claim 12, further comprising depositing another barrier material over the first conductive element prior to the juxtaposing.
  • 15. The method of claim 14, wherein, before the bonding of the first surface with the major surface, the conductive nanoparticles include first and second layers of conductive nanoparticles overlying each top surface, the first layer of conductive nanoparticles disposed on the respective top surface and the second layer of conductive nanoparticles disposed on the first layer of conductive nanoparticles, the second layer of conductive nanoparticles comprising at least one material different than at least one material comprising the first layer of conductive nanoparticles, one of the first and second layers of conductive nanoparticles comprising the barrier material and the second barrier material.
  • 16. The method of claim 15, wherein, before the bonding of the first surface with the major surface, the conductive nanoparticles on each top surface include a third layer of conductive nanoparticles formed on the respective second layer of conductive nanoparticles, the third layer of conductive nanoparticles comprising at least one material different than the at least one material comprising the second layer of conductive nanoparticles, the second layer of conductive nanoparticles comprising the barrier material and configured to prevent metal of the third layer of conductive nanoparticles from penetrating into the first layer of conductive nanoparticles.
  • 17. The method of claim 12, wherein, before the bonding of the first surface with the major surface, the electrically conductive nanoparticles are disposed over the top surface of one of the first or second electrically conductive elements.
  • 18. The method of claim 12, wherein the dielectric material at the first surface and the major surface each include a B-stage material layer that is not fully cured, and during the elevating of the temperature, the B-stage material layers are fully cured.
  • 19. A method of making an assembly, comprising: juxtaposing a top surface of a first electrically conductive element at a first surface of a first substrate with a top surface of a second electrically conductive element at a major surface of a second substrate, the first surface of the first substrate and the major surface of the second substrate each comprising a dielectric material, wherein both or one of: the top surface of the first conductive element is recessed below the first surface of the first substrate, and/or the top surface of the second conductive element is recessed below the major surface of the second substrate, and electrically conductive nanoparticles are disposed between the top surfaces of the first and second conductive elements, the conductive nanoparticles having long dimensions smaller than 100 nanometers; andelevating a temperature at least at interfaces of the juxtaposed first and second conductive elements to a joining temperature at which the conductive nanoparticles cause metallurgical joints to form between the juxtaposed first and second conductive elements,wherein at least one of the substrates of the first component and the second component has a metal element extending in a respective plane in first and second transverse directions within the respective substrate, the metal element configured to provide electromagnetic shielding to reduce signal noise of signals traveling between the first and second conductive elements.
  • 20. The method of claim 19, wherein both of the substrates of the first component and the second component has the metal element extending in the respective plane in the first and second transverse directions within the respective substrate, the metal element of the first component comprises traces extending in the first direction, and the metal element of the second component comprises traces extending in the second direction.
  • 21. The method of claim 19, further comprising directly bonding the dielectric material of the first surface with the dielectric material of the major surface.
  • 22. The method of claim 19, wherein the top surface of the first conductive element is recessed below the first surface of the first substrate, and the top surface of the second conductive element is recessed below the major surface of the second substrate.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 16/740,670, filed on Jan. 13, 2020, which is a continuation of U.S. application Ser. No. 15/937,149, filed on Mar. 27, 2018, now U.S. Pat. No. 10,535,626, which is a divisional of U.S. application Ser. No. 15/336,192, filed on Oct. 27, 2016, now U.S. Pat. No. 10,886,250, which is a continuation-in-part of U.S. application Ser. No. 14/796,381, filed on Jul. 10, 2015, now U.S. Pat. No. 9,633,971, the disclosures of which are hereby incorporated herein by reference.

US Referenced Citations (606)
Number Name Date Kind
3214827 Phohofsky Nov 1965 A
3766439 Isaacson Oct 1973 A
3775844 Parks Dec 1973 A
3873889 Leyba Mar 1975 A
4225900 Ciccio et al. Sep 1980 A
4567543 Miniet Jan 1986 A
4576543 Kuchyt et al. Mar 1986 A
4695870 Patraw Sep 1987 A
4716049 Patraw Dec 1987 A
4781601 Kuhl et al. Nov 1988 A
4804132 DiFrancesco Feb 1989 A
4818728 Rai et al. Apr 1989 A
4902600 Tamagawa et al. Feb 1990 A
4924353 Patraw May 1990 A
4939568 Kato et al. Jul 1990 A
4941033 Kishida Jul 1990 A
4975079 Beaman et al. Dec 1990 A
4982265 Watanabe et al. Jan 1991 A
4991290 MacKay Feb 1991 A
4998665 Hayashi Mar 1991 A
5046238 Daigle et al. Sep 1991 A
5068714 Seipler Nov 1991 A
5083697 Difrancesco Jan 1992 A
5087585 Hayashi Feb 1992 A
5116456 Nestor May 1992 A
5116459 Kordus et al. May 1992 A
5117282 Salatino May 1992 A
5130779 Agarwala et al. Jul 1992 A
5138438 Masayuki et al. Aug 1992 A
5148265 Khandros et al. Sep 1992 A
5148266 Khandros et al. Sep 1992 A
5172303 Bernardoni et al. Dec 1992 A
5189505 Bartelink Feb 1993 A
5196726 Nishiguchi et al. Mar 1993 A
5198888 Sugano et al. Mar 1993 A
5214308 Nishiguchi et al. May 1993 A
5220448 Vogel et al. Jun 1993 A
5220488 Denes Jun 1993 A
5222014 Lin Jun 1993 A
5224023 Smith et al. Jun 1993 A
5236118 Bower et al. Aug 1993 A
5247423 Lin et al. Sep 1993 A
5251806 Agarwala et al. Oct 1993 A
5281852 Normington Jan 1994 A
5313416 Kimura May 1994 A
5322593 Hasegawa et al. Jun 1994 A
5324892 Granier et al. Jun 1994 A
5334804 Love et al. Aug 1994 A
5334875 Sugano et al. Aug 1994 A
5341564 Akhavain et al. Aug 1994 A
5345205 Kornrumpf Sep 1994 A
5347159 Khandros et al. Sep 1994 A
5390844 Distefano et al. Feb 1995 A
5394303 Yamaji Feb 1995 A
5397916 Normington Mar 1995 A
5397997 Tuckerman et al. Mar 1995 A
5398863 Grube et al. Mar 1995 A
5409865 Karnezos Apr 1995 A
5413952 Pages et al. May 1995 A
5422435 Takiar et al. Jun 1995 A
5426563 Moresco et al. Jun 1995 A
5440171 Miyano et al. Aug 1995 A
5442235 Parrillo et al. Aug 1995 A
5448511 Paurus et al. Sep 1995 A
5454160 Nickel Oct 1995 A
5455390 DiStefano et al. Oct 1995 A
5455740 Burns Oct 1995 A
5457879 Gurtler et al. Oct 1995 A
5466635 Lynch et al. Nov 1995 A
5479318 Burns Dec 1995 A
5489749 DiStefano et al. Feb 1996 A
5489804 Pasch Feb 1996 A
5491302 Distefano et al. Feb 1996 A
5501003 Bernstein Mar 1996 A
5503704 Bower et al. Apr 1996 A
5516727 Broom May 1996 A
5518964 DiStefano et al. May 1996 A
5536909 DiStefano et al. Jul 1996 A
5539153 Schwiebert et al. Jul 1996 A
5541525 Wood et al. Jul 1996 A
5552963 Burns Sep 1996 A
5587342 Lin et al. Dec 1996 A
5610431 Martin Mar 1997 A
5615824 Fjelstad et al. Apr 1997 A
5640052 Tsukamoto Jun 1997 A
5646446 Nicewarner, Jr. et al. Jul 1997 A
5656550 Tsuji et al. Aug 1997 A
5659952 Kovac et al. Aug 1997 A
5679977 Khandros et al. Oct 1997 A
5689091 Hamzehdoost et al. Nov 1997 A
5717556 Yanagida Feb 1998 A
5731709 Pastore et al. Mar 1998 A
5734199 Kawakita et al. Mar 1998 A
5739585 Akram et al. Apr 1998 A
5753536 Sugiyama et al. May 1998 A
5762845 Crumly Jun 1998 A
5771555 Eda et al. Jun 1998 A
5776797 Nicewarner, Jr. et al. Jul 1998 A
5777386 Higashi et al. Jul 1998 A
5786271 Ohida et al. Jul 1998 A
5789279 Crema Aug 1998 A
5789815 Tessier et al. Aug 1998 A
5798286 Faraci et al. Aug 1998 A
5802699 Fjelstad et al. Sep 1998 A
5805422 Otake et al. Sep 1998 A
5811982 Beaman et al. Sep 1998 A
5821692 Rogers et al. Oct 1998 A
5854507 Miremadi et al. Dec 1998 A
5861666 Bellaar Jan 1999 A
5866942 Suzuki et al. Feb 1999 A
5956234 Mueller Sep 1999 A
5973391 Bischoff et al. Oct 1999 A
5980270 Fjelstad et al. Nov 1999 A
5985692 Poenisch et al. Nov 1999 A
5985739 Plettner et al. Nov 1999 A
5998808 Matsushita Dec 1999 A
6001671 Fjelstad Dec 1999 A
6008126 Leedy Dec 1999 A
6032359 Carroll Mar 2000 A
6052287 Palmer et al. Apr 2000 A
6054756 DiStefano et al. Apr 2000 A
6059984 Cohen et al. May 2000 A
6061245 Ingraham et al. May 2000 A
6063968 Hubner et al. May 2000 A
6071761 Jacobs Jun 2000 A
6080640 Gardner et al. Jun 2000 A
6097096 Gardner et al. Aug 2000 A
6123825 Uzoh et al. Sep 2000 A
6147000 You et al. Nov 2000 A
6157075 Karavakis et al. Dec 2000 A
6175159 Sasaki et al. Jan 2001 B1
6177636 Fjelstad Jan 2001 B1
6202297 Faraci et al. Mar 2001 B1
6216941 Yokoyama et al. Apr 2001 B1
6217972 Beroz et al. Apr 2001 B1
6218302 Braeckelmann et al. Apr 2001 B1
6229220 Saitoh et al. May 2001 B1
6232150 Lin et al. May 2001 B1
6235996 Farooq et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6259160 Lopatin et al. Jul 2001 B1
6265775 Seyyedy Jul 2001 B1
6297072 Tilmans et al. Oct 2001 B1
6300679 Mukerji et al. Oct 2001 B1
6307260 Smith et al. Oct 2001 B1
6316786 Mueller et al. Nov 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6326555 McCormack et al. Dec 2001 B1
6329594 Sturcken Dec 2001 B1
6332270 Beaman et al. Dec 2001 B2
6333120 DeHaven et al. Dec 2001 B1
6333206 Ito et al. Dec 2001 B1
6335571 Capote et al. Jan 2002 B1
6348709 Graettinger et al. Feb 2002 B1
6358627 Benenati et al. Mar 2002 B2
6362525 Rahim Mar 2002 B1
6374770 Lee Apr 2002 B1
6396155 Nukiwa et al. May 2002 B1
6409904 Uzoh et al. Jun 2002 B1
6423640 Lee et al. Jul 2002 B1
6455785 Sakurai et al. Sep 2002 B1
6458411 Goossen et al. Oct 2002 B1
6465892 Suga Oct 2002 B1
6469394 Wong et al. Oct 2002 B1
6495914 Sekine et al. Dec 2002 B1
6514847 Ohsawa et al. Feb 2003 B1
6515355 Jiang et al. Feb 2003 B1
6522018 Tay et al. Feb 2003 B1
6528894 Akram et al. Mar 2003 B1
6545228 Hashimoto Apr 2003 B2
6550666 Chew et al. Apr 2003 B2
6552436 Burnette et al. Apr 2003 B2
6555917 Heo Apr 2003 B1
6555918 Masuda et al. Apr 2003 B2
6560117 Moon May 2003 B2
6578754 Tung Jun 2003 B1
6579744 Jiang Jun 2003 B1
6583515 James et al. Jun 2003 B1
6589813 Park Jul 2003 B1
6589870 Katoh et al. Jul 2003 B1
6592109 Yamaguchi et al. Jul 2003 B2
6600224 Farquhar et al. Jul 2003 B1
6624003 Rice Sep 2003 B1
6624653 Cram Sep 2003 B1
6627814 Stark Sep 2003 B1
6632377 Brusic et al. Oct 2003 B1
6647310 Yi et al. Nov 2003 B1
6648213 Patterson et al. Nov 2003 B1
6660564 Brady Dec 2003 B2
6664637 Jimarez et al. Dec 2003 B2
6667225 Hau-Riege et al. Dec 2003 B2
6681982 Tung Jan 2004 B2
6734539 Degani et al. May 2004 B2
6734556 Shibata May 2004 B2
6767819 Lutz Jul 2004 B2
6782610 Iijima et al. Aug 2004 B1
6815252 Pendse Nov 2004 B2
6822336 Kurita Nov 2004 B2
6828686 Park Dec 2004 B2
6837979 Uzoh et al. Jan 2005 B2
6852564 Ohuchi et al. Feb 2005 B2
6864585 Enquist Mar 2005 B2
6869750 Zhang et al. Mar 2005 B2
6870274 Huang et al. Mar 2005 B2
6875638 Yoneda et al. Apr 2005 B2
6887769 Kellar et al. May 2005 B2
6888255 Murtuza et al. May 2005 B2
6902869 Appelt et al. Jun 2005 B2
6902987 Tong et al. Jun 2005 B1
6906418 Hiatt et al. Jun 2005 B2
6908027 Tolchinsky et al. Jun 2005 B2
6909194 Farnworth et al. Jun 2005 B2
6956165 Hata et al. Oct 2005 B1
6962835 Tong et al. Nov 2005 B2
6965166 Hikita et al. Nov 2005 B2
6974769 Basol et al. Dec 2005 B2
6992379 Alcoe et al. Jan 2006 B2
6995044 Yoneda et al. Feb 2006 B2
6995469 Hatakeyama Feb 2006 B2
7043831 Farnworth et al. May 2006 B1
7045453 Canaperi et al. May 2006 B2
7078811 Suga Jul 2006 B2
7105980 Abbott et al. Sep 2006 B2
7109063 Jiang Sep 2006 B2
7115495 Wark et al. Oct 2006 B2
7125789 Tellkamp et al. Oct 2006 B2
7126212 Enquist et al. Oct 2006 B2
7176043 Haba et al. Feb 2007 B2
7183190 Saijo et al. Feb 2007 B2
7193423 Dalton et al. Mar 2007 B1
7214887 Higashida et al. May 2007 B2
7238919 Kaneko et al. Jul 2007 B2
7247508 Higashitani et al. Jul 2007 B2
7354798 Pogge et al. Apr 2008 B2
7361285 Kim Apr 2008 B2
7382049 Ho et al. Jun 2008 B2
7449099 Mayer et al. Nov 2008 B1
7485968 Enquist et al. Feb 2009 B2
7569935 Fan Aug 2009 B1
7598613 Tanida et al. Oct 2009 B2
7745943 Haba et al. Jun 2010 B2
7750488 Patti et al. Jul 2010 B2
7803693 Trezza Sep 2010 B2
7829265 Kitada et al. Nov 2010 B2
7901989 Haba et al. Mar 2011 B2
7911805 Haba Mar 2011 B2
7998335 Feeney et al. Aug 2011 B2
8039966 Yang et al. Oct 2011 B2
8115310 Masumoto et al. Feb 2012 B2
8168532 Haneda et al. May 2012 B2
8183127 Patti et al. May 2012 B2
8241961 Kim et al. Aug 2012 B2
8242600 Yang et al. Aug 2012 B2
8314007 Vaufredaz Nov 2012 B2
8330272 Haba Dec 2012 B2
8349635 Gan et al. Jan 2013 B1
8377798 Peng et al. Feb 2013 B2
8435421 Keleher et al. May 2013 B2
8441131 Ryan May 2013 B2
8476146 Chen et al. Jul 2013 B2
8476165 Trickett et al. Jul 2013 B2
8482132 Yang et al. Jul 2013 B2
8501537 Sadaka et al. Aug 2013 B2
8524533 Tong et al. Sep 2013 B2
8580607 Haba Nov 2013 B2
8620164 Heck et al. Dec 2013 B2
8647987 Yang et al. Feb 2014 B2
8697493 Sadaka Apr 2014 B2
8716105 Sadaka et al. May 2014 B2
8802538 Liu Aug 2014 B1
8809123 Liu et al. Aug 2014 B2
8841002 Tong Sep 2014 B2
8988299 Kam et al. Mar 2015 B2
9000600 Uzoh et al. Apr 2015 B2
9093350 Endo et al. Jul 2015 B2
9142517 Liu et al. Sep 2015 B2
9171756 Enquist et al. Oct 2015 B2
9184125 Enquist et al. Nov 2015 B2
9224704 Landru Dec 2015 B2
9230941 Chen et al. Jan 2016 B2
9257399 Kuang et al. Feb 2016 B2
9269612 Chen et al. Feb 2016 B2
9299736 Chen et al. Mar 2016 B2
9312229 Chen et al. Apr 2016 B2
9331149 Tong et al. May 2016 B2
9337235 Chen et al. May 2016 B2
9343330 Brusic et al. May 2016 B2
9349669 Uzoh et al. May 2016 B2
9368866 Yu Jun 2016 B2
9385024 Tong et al. Jul 2016 B2
9394161 Cheng et al. Jul 2016 B2
9431368 Enquist et al. Aug 2016 B2
9437572 Chen et al. Sep 2016 B2
9443796 Chou et al. Sep 2016 B2
9461007 Chun et al. Oct 2016 B2
9496239 Edelstein et al. Nov 2016 B1
9536848 England et al. Jan 2017 B2
9559081 Lai et al. Jan 2017 B1
9620481 Edelstein et al. Apr 2017 B2
9633971 Uzoh Apr 2017 B2
9656852 Cheng et al. May 2017 B2
9723716 Meinhold Aug 2017 B2
9728521 Tsai et al. Aug 2017 B2
9741620 Uzoh et al. Aug 2017 B2
9799587 Fujii et al. Oct 2017 B2
9852988 Enquist et al. Dec 2017 B2
9881882 Hsu et al. Jan 2018 B2
9893004 Yazdani Feb 2018 B2
9899442 Katkar Feb 2018 B2
9929050 Lin Mar 2018 B2
9941241 Edelstein et al. Apr 2018 B2
9941243 Kim et al. Apr 2018 B2
9953941 Enquist Apr 2018 B2
9960142 Chen et al. May 2018 B2
10002844 Wang et al. Jun 2018 B1
10026605 Doub et al. Jul 2018 B2
10075657 Fahim et al. Sep 2018 B2
10147641 Enquist et al. Dec 2018 B2
10204893 Uzoh et al. Feb 2019 B2
10269708 Enquist et al. Apr 2019 B2
10269756 Uzoh Apr 2019 B2
10276619 Kao et al. Apr 2019 B2
10276909 Huang et al. Apr 2019 B2
10314175 Sato et al. Jun 2019 B2
10418277 Cheng et al. Sep 2019 B2
10434749 Tong et al. Oct 2019 B2
10446456 Shen et al. Oct 2019 B2
10446487 Huang et al. Oct 2019 B2
10446532 Uzoh et al. Oct 2019 B2
10508030 Katkar et al. Dec 2019 B2
10515913 Katkar et al. Dec 2019 B2
10522499 Enquist et al. Dec 2019 B2
10535626 Uzoh Jan 2020 B2
10707087 Uzoh et al. Jul 2020 B2
10721822 Sato et al. Jul 2020 B2
10784191 Huang et al. Sep 2020 B2
10790262 Uzoh et al. Sep 2020 B2
10840135 Uzoh Nov 2020 B2
10840205 Fountain, Jr. et al. Nov 2020 B2
10854578 Morein Dec 2020 B2
10879212 Uzoh et al. Dec 2020 B2
10886177 DeLaCruz et al. Jan 2021 B2
10886250 Uzoh Jan 2021 B2
10892246 Uzoh Jan 2021 B2
10923408 Huang et al. Feb 2021 B2
10923413 DeLaCruz Feb 2021 B2
10950547 Mohammed et al. Mar 2021 B2
10964664 Mandalapu et al. Mar 2021 B2
10985133 Uzoh Apr 2021 B2
10991804 DeLaCruz et al. Apr 2021 B2
10998292 Lee et al. May 2021 B2
11004757 Katkar et al. May 2021 B2
11011494 Gao et al. May 2021 B2
11011503 Wang et al. May 2021 B2
11031285 Katkar et al. Jun 2021 B2
11056348 Theil Jul 2021 B2
11088099 Katkar et al. Aug 2021 B2
11127738 DeLaCruz et al. Sep 2021 B2
11158606 Gao et al. Oct 2021 B2
11171117 Gao et al. Nov 2021 B2
11176450 Teig et al. Nov 2021 B2
11244920 Uzoh Feb 2022 B2
11256004 Haba et al. Feb 2022 B2
11264357 DeLaCruz et al. Mar 2022 B1
11276676 Enquist et al. Mar 2022 B2
11329034 Tao et al. May 2022 B2
11348898 DeLaCruz et al. May 2022 B2
11355443 Huang et al. Jun 2022 B2
20010008309 Iijima et al. Jul 2001 A1
20010030061 Yoneda Oct 2001 A1
20020000328 Motomura et al. Jan 2002 A1
20020003307 Suga Jan 2002 A1
20020025665 Juengling Feb 2002 A1
20020033412 Tung Mar 2002 A1
20020056906 Kajiwara et al. May 2002 A1
20020074641 Towle et al. Jun 2002 A1
20020074670 Suga Jun 2002 A1
20020090756 Tago et al. Jul 2002 A1
20020094661 Enquist et al. Jul 2002 A1
20020125571 Corisis et al. Sep 2002 A1
20020153602 Tay et al. Oct 2002 A1
20020155661 Massingill et al. Oct 2002 A1
20020185735 Sakurai et al. Dec 2002 A1
20020190107 Shah et al. Dec 2002 A1
20030001286 Kajiwara et al. Jan 2003 A1
20030019568 Liu et al. Jan 2003 A1
20030075791 Shibata Apr 2003 A1
20030082846 Yoneda et al. May 2003 A1
20030092220 Akram May 2003 A1
20030094700 Aiba et al. May 2003 A1
20030107118 Pflughaupt et al. Jun 2003 A1
20030127734 Lee et al. Jul 2003 A1
20030132518 Castro Jul 2003 A1
20030157748 Kim et al. Aug 2003 A1
20030164540 Lee et al. Sep 2003 A1
20030189260 Tong et al. Oct 2003 A1
20030234453 Liu et al. Dec 2003 A1
20040031972 Pflughaupt et al. Feb 2004 A1
20040084414 Sakai et al. May 2004 A1
20040087057 Wang et al. May 2004 A1
20040126927 Lin et al. Jul 2004 A1
20040132533 Leifer Jul 2004 A1
20040135243 Aoyagi Jul 2004 A1
20040155358 Iijima Aug 2004 A1
20040173900 Chen et al. Sep 2004 A1
20040201096 Iijima et al. Oct 2004 A1
20040224441 Saito Nov 2004 A1
20040232533 Hatakeyama Nov 2004 A1
20040235603 Peck Nov 2004 A1
20040238936 Rumer et al. Dec 2004 A1
20040245213 Fukase et al. Dec 2004 A1
20040262778 Hua Dec 2004 A1
20050093164 Standing May 2005 A1
20050097727 Iijima et al. May 2005 A1
20050101136 Mori May 2005 A1
20050116326 Haba et al. Jun 2005 A1
20050121784 Standing Jun 2005 A1
20050124091 Fukase et al. Jun 2005 A1
20050133572 Brese et al. Jun 2005 A1
20050150684 Hashimoto Jul 2005 A1
20050194695 Lin et al. Sep 2005 A1
20050266670 Lin et al. Dec 2005 A1
20050284658 Kubota et al. Dec 2005 A1
20050285246 Haba et al. Dec 2005 A1
20060024950 Choi et al. Feb 2006 A1
20060055032 Chang et al. Mar 2006 A1
20060057945 Hsu et al. Mar 2006 A1
20060091538 Kabadi May 2006 A1
20060138647 Crisp et al. Jun 2006 A1
20060220259 Chen et al. Oct 2006 A1
20060254502 Garrou et al. Nov 2006 A1
20070017090 Sakai et al. Jan 2007 A1
20070045869 Ho et al. Mar 2007 A1
20070096294 Ikeda et al. May 2007 A1
20070111386 Kim et al. May 2007 A1
20070138649 Knights Jun 2007 A1
20070141750 Iwasaki et al. Jun 2007 A1
20070164447 Ho et al. Jul 2007 A1
20070173900 Siegel et al. Jul 2007 A1
20070209199 Iijima et al. Sep 2007 A1
20070216012 Hozoji et al. Sep 2007 A1
20070230153 Tanida et al. Oct 2007 A1
20070232023 Tong Oct 2007 A1
20070292988 Nakabayashi Dec 2007 A1
20080003402 Haba et al. Jan 2008 A1
20080067661 Kawabata Mar 2008 A1
20080122092 Hong May 2008 A1
20080138961 Lee Jun 2008 A1
20080145607 Kajiwara et al. Jun 2008 A1
20080220373 Choi et al. Sep 2008 A1
20080237053 Andricacos et al. Oct 2008 A1
20080251940 Lee et al. Oct 2008 A1
20080258299 Kang et al. Oct 2008 A1
20080268570 Shen et al. Oct 2008 A1
20090002964 Haba Jan 2009 A1
20090039507 Funaki Feb 2009 A1
20090039528 Haba et al. Feb 2009 A1
20090071707 Endo et al. Mar 2009 A1
20090071837 Fredenberg et al. Mar 2009 A1
20090091024 Zeng et al. Apr 2009 A1
20090115047 Haba et al. May 2009 A1
20090121348 Chang May 2009 A1
20090146303 Kwon Jun 2009 A1
20090148594 Moran et al. Jun 2009 A1
20090188706 Endo Jul 2009 A1
20090197408 Lehr et al. Aug 2009 A1
20090200668 Yang et al. Aug 2009 A1
20090243095 Fujita et al. Oct 2009 A1
20090294056 Yoshimura et al. Dec 2009 A1
20090302466 Shoji et al. Dec 2009 A1
20100006987 Murugan et al. Jan 2010 A1
20100044860 Haba et al. Feb 2010 A1
20100052189 Sakurai et al. Mar 2010 A1
20100093131 Maeda Apr 2010 A1
20100102452 Nakao Apr 2010 A1
20100164355 Son et al. Jul 2010 A1
20100255262 Chen et al. Oct 2010 A1
20100301485 Sekine et al. Dec 2010 A1
20100327443 Kim Dec 2010 A1
20110008632 Zheng et al. Jan 2011 A1
20110012263 Hata et al. Jan 2011 A1
20110074027 Kwon Mar 2011 A1
20110074040 Frank et al. Mar 2011 A1
20110084403 Yang et al. Apr 2011 A1
20110278063 Chen et al. Nov 2011 A1
20120025365 Haba Feb 2012 A1
20120042515 Shoji et al. Feb 2012 A1
20120211549 Yamakami et al. Aug 2012 A1
20120211894 Aoyagi Aug 2012 A1
20120212384 Kam et al. Aug 2012 A1
20120217165 Feng et al. Aug 2012 A1
20120248618 Akino Oct 2012 A1
20120273936 Uang et al. Nov 2012 A1
20120305298 Uang et al. Dec 2012 A1
20130020704 Sadaka Jan 2013 A1
20130040451 Dragoi et al. Feb 2013 A1
20130099376 Haba Apr 2013 A1
20130136917 Dastoor et al. May 2013 A1
20130221527 Yang et al. Aug 2013 A1
20130252399 Leduc Sep 2013 A1
20130320556 Liu et al. Dec 2013 A1
20140001636 Saito et al. Jan 2014 A1
20140007351 Cohen Jan 2014 A1
20140077351 Haba Mar 2014 A1
20140153210 Uzoh Jun 2014 A1
20140175655 Chen et al. Jun 2014 A1
20140225795 Yu Aug 2014 A1
20140231996 Fujisawa Aug 2014 A1
20140252635 Tran et al. Sep 2014 A1
20140264948 Chou et al. Sep 2014 A1
20140353828 Edelstein et al. Dec 2014 A1
20150014399 Ogashiwa et al. Jan 2015 A1
20150027755 Tsujimoto et al. Jan 2015 A1
20150064498 Tong Mar 2015 A1
20150108644 Kuang et al. Apr 2015 A1
20150206823 Lin et al. Jul 2015 A1
20150245474 Takahashi et al. Aug 2015 A1
20150340269 Rivoire et al. Nov 2015 A1
20150357538 Hsing Chen et al. Dec 2015 A1
20150380368 Momose et al. Dec 2015 A1
20160020183 Chuang et al. Jan 2016 A1
20160133598 Baudin et al. May 2016 A1
20160168715 Ma et al. Jun 2016 A1
20160192496 Wang et al. Jun 2016 A1
20160247778 Katkar et al. Aug 2016 A1
20160276383 Chuang et al. Sep 2016 A1
20160343682 Kawasaki Nov 2016 A1
20170069575 Haba et al. Mar 2017 A1
20170086320 Barber Mar 2017 A1
20170194271 Hsu et al. Jul 2017 A1
20170355040 Utsumi et al. Dec 2017 A1
20180151523 Chen et al. May 2018 A1
20180175012 Wu et al. Jun 2018 A1
20180182639 Uzoh et al. Jun 2018 A1
20180182665 Uzoh et al. Jun 2018 A1
20180182666 Uzoh et al. Jun 2018 A1
20180190580 Haba et al. Jul 2018 A1
20180190583 DeLaCruz et al. Jul 2018 A1
20180190876 Liu et al. Jul 2018 A1
20180219038 Gambino et al. Aug 2018 A1
20180273377 Katkar et al. Sep 2018 A1
20180295718 Uzoh et al. Oct 2018 A1
20180323177 Yu et al. Nov 2018 A1
20180323227 Zhang et al. Nov 2018 A1
20180331066 Uzoh et al. Nov 2018 A1
20190096741 Uzoh et al. Mar 2019 A1
20190115277 Yu et al. Apr 2019 A1
20190131277 Yang et al. May 2019 A1
20190198407 Huang et al. Jun 2019 A1
20190198409 Katkar et al. Jun 2019 A1
20190265411 Huang et al. Aug 2019 A1
20190333550 Fisch Oct 2019 A1
20190348336 Katkar et al. Nov 2019 A1
20190385935 Gao et al. Dec 2019 A1
20190385966 Gao et al. Dec 2019 A1
20190393086 Uzoh Dec 2019 A1
20200006280 Shah et al. Jan 2020 A1
20200013637 Haba Jan 2020 A1
20200013765 Fountain, Jr. et al. Jan 2020 A1
20200035641 Fountain, Jr. et al. Jan 2020 A1
20200075520 Gao et al. Mar 2020 A1
20200075553 DeLaCruz et al. Mar 2020 A1
20200126906 Uzoh et al. Apr 2020 A1
20200194396 Uzoh Jun 2020 A1
20200227367 Haba et al. Jul 2020 A1
20200243380 Uzoh et al. Jul 2020 A1
20200279821 Haba et al. Sep 2020 A1
20200294908 Haba et al. Sep 2020 A1
20200328162 Haba et al. Oct 2020 A1
20200328164 DeLaCruz et al. Oct 2020 A1
20200328165 DeLaCruz et al. Oct 2020 A1
20200335408 Gao et al. Oct 2020 A1
20200365575 Uzoh et al. Nov 2020 A1
20200371154 DeLaCruz et al. Nov 2020 A1
20200381389 Uzoh et al. Dec 2020 A1
20200395321 Katkar et al. Dec 2020 A1
20200411483 Uzoh et al. Dec 2020 A1
20210098412 Haba et al. Apr 2021 A1
20210118864 DeLaCruz et al. Apr 2021 A1
20210143125 DeLaCruz et al. May 2021 A1
20210181510 Katkar et al. Jun 2021 A1
20210193603 Katkar et al. Jun 2021 A1
20210193624 DeLaCruz et al. Jun 2021 A1
20210193625 DeLaCruz et al. Jun 2021 A1
20210242152 Fountain, Jr. et al. Aug 2021 A1
20210296282 Gao et al. Sep 2021 A1
20210305202 Uzoh et al. Sep 2021 A1
20210335737 Katkar et al. Oct 2021 A1
20210366820 Uzoh Nov 2021 A1
20210407941 Haba Dec 2021 A1
20220077063 Haba Mar 2022 A1
20220077087 Haba Mar 2022 A1
20220130787 Uzoh Apr 2022 A1
20220139867 Uzoh May 2022 A1
20220139869 Gao et al. May 2022 A1
20220165692 Uzoh et al. May 2022 A1
20220208650 Gao et al. Jun 2022 A1
20220208702 Uzoh Jun 2022 A1
20220208723 Katkar et al. Jun 2022 A1
20220246497 Fountain, Jr. et al. Aug 2022 A1
20220285303 Mirkarimi et al. Sep 2022 A1
20220319901 Suwito et al. Oct 2022 A1
20220320035 Uzoh et al. Oct 2022 A1
20220320036 Gao et al. Oct 2022 A1
20230005850 Fountain, Jr. Jan 2023 A1
20230019869 Mirkarimi et al. Jan 2023 A1
Foreign Referenced Citations (83)
Number Date Country
1244037 Feb 2000 CN
1942057 Apr 2007 CN
101002313 Jul 2007 CN
203013712 Jun 2013 CN
102006006825 Aug 2007 DE
0615283 Sep 1994 EP
1091406 Apr 2001 EP
1255295 Nov 2002 EP
1387402 Feb 2004 EP
1471570 Oct 2004 EP
1602749 Dec 2005 EP
54148484 Nov 1979 JP
57107501 Jul 1982 JP
62117346 May 1987 JP
63153889 Jun 1988 JP
64086527 Mar 1989 JP
H04151843 May 1992 JP
06268015 Sep 1994 JP
7211722 Aug 1995 JP
08031835 Feb 1996 JP
10013003 Jan 1998 JP
H10125734 May 1998 JP
11087556 Mar 1999 JP
11097576 Apr 1999 JP
11111886 Apr 1999 JP
2000100869 Apr 2000 JP
2000277649 Oct 2000 JP
2001118872 Apr 2001 JP
2001244365 Sep 2001 JP
2002016096 Jan 2002 JP
2002043506 Feb 2002 JP
2002124548 Apr 2002 JP
2002261204 Sep 2002 JP
2002313993 Oct 2002 JP
2002313996 Oct 2002 JP
2002-353416 Dec 2002 JP
2002359471 Dec 2002 JP
2003007768 Jan 2003 JP
2003037135 Feb 2003 JP
2003051665 Feb 2003 JP
2003092472 Mar 2003 JP
2003124250 Apr 2003 JP
2004128230 Apr 2004 JP
2004221450 Aug 2004 JP
2004273957 Sep 2004 JP
2004342802 Dec 2004 JP
2004349390 Dec 2004 JP
2005026645 Jan 2005 JP
2005032964 Feb 2005 JP
2005045191 Feb 2005 JP
2005072270 Mar 2005 JP
2005093512 Apr 2005 JP
2005123547 May 2005 JP
2005216696 Aug 2005 JP
2005243761 Sep 2005 JP
2005285986 Oct 2005 JP
2006005322 Jan 2006 JP
2007023338 Feb 2007 JP
2007129207 May 2007 JP
2007242900 Sep 2007 JP
2007266555 Oct 2007 JP
2009177118 Aug 2009 JP
2010521587 Jun 2010 JP
2013-033786 Feb 2013 JP
2018-129475 Aug 2018 JP
2018-160519 Oct 2018 JP
20100092428 Aug 2010 KR
10-2016-0066272 Jun 2016 KR
0141207 Jun 2001 WO
WO 2005043584 May 2005 WO
2005122706 Dec 2005 WO
2006004672 Jan 2006 WO
2006057097 Jun 2006 WO
2007069606 Jun 2007 WO
2008000020 Jan 2008 WO
2008076428 Jun 2008 WO
2008112318 Sep 2008 WO
2009017758 Feb 2009 WO
2009020572 Feb 2009 WO
2009023283 Feb 2009 WO
2009023284 Feb 2009 WO
2009045371 Apr 2009 WO
WO 2016136064 Sep 2016 WO
Non-Patent Literature Citations (113)
Entry
Non-Final Office Action dated Dec. 21, 2011, filed in U.S. Appl. No. 12/462,208.
Amendment to Non-Final Office Action dated May 21, 2012, filed in U.S. Appl. No. 12/462,208.
Final Rejection dated Sep. 13, 2012, filed in U.S. Appl. No. 12/462,208.
Amendment Accompanying RCE dated Mar. 13, 2013, filed in U.S. Appl. No. 12/462,208.
Non-Final Office Action dated Apr. 8, 2013, filed in U.S. Appl. No. 12/462,208.
Amendment to Non-Final Office Action dated Aug. 8, 2013, filed in U.S. Appl. No. 12/462,208.
Final Rejection dated Nov. 22, 2013, filed in U.S. Appl. No. 12/462,208.
Non-Final Office Action dated Mar. 28, 2014, filed in U.S. Appl. No. 12/462,208.
Amendment Submitted with RCE dated Feb. 24, 2014, filed in U.S. Appl. No. 12/462,208.
Endo, Kimitaka, U.S. Appl. No. 60/875,730, filed Dec. 19, 2006, titled “Chip capacitor embedded PWB”.
Endo et al., U.S. Appl. No. 60/964,916, filed Aug. 15, 2007, titled “Multilayer substrate with interconnection vias and method of manufacturing the same”.
Kwon et al., U.S. Appl. No. 60/964,823, filed Aug. 15, 2007, titled “Interconnection element with posts formed by plating”.
Avsian et al., U.S. Appl. No. 60/963,209, filed Aug. 3, 2007, titlted “Die stack package fabricated at the wafer level with pad extensions applied to reconstituted wafer elements”.
Haba et al., U.S. Appl. No. 60/964,069, filed Aug. 9, 2007, titled “Wafer level stacked packages with individual chip selection”.
Haba et al., U.S. Appl. No. 60/962,200, filed Jul. 27, 2007, titled “Reconstituted wafer stack packaging with after-applied pad extensions”.
Haba et al., U.S. Appl. No. 60/936,617, filed Jun. 20, 2007, titled “Reconstituted wafer level stacking”.
Amendment to Non-Final Office Action dated May 2, 2014, filed in U.S. Appl. No. 12/965,192.
Non-Final Office Action dated Mar. 21, 2014, filed in U.S. Appl. No. 12/965,192.
Taiwanese Office Action for Application No. 100145661 dated Sep. 25, 2014.
JP Office Action for Application No. JP2009-553652 dated Nov. 11, 2014.
Japanese Office Action for Application No. JP2013-543342 dated Sep. 18, 2015.
Milan Paunovic, Mordechay Schlesinger, Dexter D. Snyder; Modern Elecroplating, Fifth Edition; 2010 Wiley & Sons; pp. 1-32.
International Search Report for Application No. PCT/US2016/041000 dated Oct. 18, 2016.
International Search Report and Written Opinion for Application No. PCT/US2017/058327 dated Feb. 8, 2018.
International Search Report and Written Opinion, PCT/US2009/004694, dated Dec. 7, 2009.
Choubey A; Hao Yu; Osterman M; Pecht M; Fu Yun; Li Yonghong; Xu Ming: “Intermetallics Characterization of lead-free solder joints under isothermal aging” Journal of Electronic Materials, vol. 37, No. 8, May 28, 2008 (May 28, 2008), pp. 1130-1138, XP002555807.
Co-Pending U.S. Appl. No. 11/717,587, U.S. Final Office Action dated Mar. 16, 2011.
Co-Pending U.S. Appl. No. 11/717,587, Amendment dated Sep. 16, 2011.
North Corporation, “Processed Intra-layer Interconnection Material for PWBs [Etched Copper Bump with Copper Foil],” NMBITM, Version 2001.6.
Neo-Manhattan Technology, A Novel HDI Manufacturing Process, “High-Density Interconnects for Advanced Flex Substrates & 3-D Package Stacking, ”IPC Flex & Chips Symposium, Tempe, AZ, Feb. 11-12, 2003.
Co-Pending U.S. Appl. No. 11/166,982, Non-final Rejection dated Mar. 21, 2008.
Co-Pending U.S. Appl. No. 11/166,982, Amendment dated Jun. 23, 2008.
International Search Report, PCT/US2008/03473 dated Sep. 15, 2008.
Office Action from Japanese Application No. 2007-518347 dated Feb. 1, 2011.
Korean Office Action for Application No. 10-2011-7006476 dated May 30, 2012.
International Search Report, PCT/US2008/011271, dated Mar. 27, 2009.
Gupta et al., U.S. Appl. No. 12/965,192, filed Dec. 10, 2010, titled “Interconnect Structure”.
Kwon, Jinsu, U.S. Appl. No. 12/965,172, filed Dec. 10, 2010, titled “Flip Chip Internconnection with Double Post”.
Supplementary Partial European Search Report for Application No. EP 08835829 dated Feb. 21, 2012.
Extended European Search Report for Application No. EP 08835829.6 dated Feb. 28, 2012.
Haba et al., U.S. Appl. No. 60/508,970, filed Oct. 6, 2003, titled “Formation of circuitry with modification of feature height”.
U.S. Appl. No. 60/633,210, filed Dec. 30, 2003.
Haba et al., U.S. Appl. No. 60/533,393, filed Dec. 30, 2003, titled “Micro pin grid array with wiping action”.
Japanese Office Action for Application No. 2007-518347 dated Nov. 2, 2012.
Chinese Office Action for Application No. 200980141969.8 dated Jan. 28, 2013.
International Search Report and Written Opinion for Application No. PCT/US2011/043152, dated Dec. 9, 2011.
Office Action from Taiwan Application No. 100124338 dated Dec. 6, 2013.
Office Action for Chinese Application No. 200980141969.8 dated Dec. 18, 2013.
EP Report for Application No. 11740758.5 dated Nov. 27, 2013.
Chinese Office Action for Application No. 200880117714.3 dated Jul. 18, 2012.
European Office Action for Application No. 08835829.6 dated Oct. 19, 2012.
Printout from Merriam-Webster, Definition of Pad, printed on Feb. 25, 2013.
Japanese Office Action for Application No. 2007-332727 dated Oct. 30, 2012.
Japanese Office Action for Application No. 2010-526972 dated Jan. 25, 2013.
Chinese Office Action for Application No. 200880117714.3 dated Jan. 29, 2013.
International Search Report for Application No. PCT/US2011/063953 dated Mar. 29, 2012.
Yamada H et al., “A fine pitch and high aspect ratio bump array for flip-chip interconnection”, Proceedings of the International Electronic Manufacturing Technology Symposium, Baltimore, USA, Sep. 28-30, 1992, New York, USA, IEEE, vol. SYMP. 13, Sep. 28, 1992 (Sep. 28, 1992), pp. 288-292, XP010259441.
Yamada H et al., “A fine pitch and high aspect ratio bump fabrication process for flip-chip interconnection”, Proceedings of the Electronic Manufacturing Technology Symposium, Omiya, Japan, Dec. 4-6, 1995, New York, USA, IEEE, Dec. 4, 1995 (Dec. 4, 1995), pp. 121-124, XP010195564.
Partial European Search Report for Application No. EP13164353 dated Aug. 7, 2013.
Non-Final Office Action dated Feb. 29, 2012, filed in U.S. Appl. No. 12/965,172.
Amendment to Non-Final Office Action dated Jul. 30, 2012, filed in U.S. Appl. No. 12/965,172.
Final Office Action dated Aug. 27, 2012, filed in U.S. Appl. No. 12/965,172.
Amendment to Final Office Action dated Feb. 27, 2013, filed in U.S. Appl. No. 12/965,172.
Non-Final Office Action dated Jul. 10, 2013, filed in U.S. Appl. No. 12/965,172.
Extended European Search Report for Application No. 13164353.8 dated Feb. 7, 2014.
Chinese Office Action for Application No. 200880011888.1 dated Feb. 18, 2014.
Non-Final Office Action dated Dec. 16, 2011, filed in U.S. Appl. No. 12/317,707.
Amendment to Non-Final Office Action dated May 16, 2012, filed in U.S. Appl. No. 12/317,707.
Final Office Action dated Mar. 28, 2014 filed for U.S. Appl. No. 13/795,473.
Non Final Office Action dated Dec. 5, 2013 filed for U.S. Appl. No. 13/795,473.
Amendment to Non Final Office Action dated Mar. 4, 2014 filed for U.S. Appl. No. 13/795,473.
Japanese Office Action for Application No. 2010-526972 dated Apr. 8, 2014.
Amendment to Non-Final Office Action dated Dec. 10, 2013, filed in U.S. Appl. No. 12/965,172.
Supplemental Amendment dated Jan. 7, 2014, filed in U.S. Appl. No. 12/965,172.
Chinese Office Action dated Dec. 2, 2022, in Chinese Application No. 201780064766.8, 12 pages.
Taiwan Office Action dated Nov. 29, 2022, for Taiwan Application No. 106134536, 3 pages.
Akolkar, R., “Current status and advances in Damascene Electrodeposition,” Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, 2017, 8 pages.
Che, F.X. et al., “Study on Cu protrusion of through-silicon via,” IEEE Transactions on Components, Packaging and Manufacturing Technology, May 2013, vol. 3, No. 5, pp. 732-739.
Dela Pena, Eden M. et al., “Electrodeposited copper using direct and pulse currents from electrolytes containing low concentration of additives,” School of Chemical and Process Engineering, University of Strathclyde, 2018 Surface and Coating Technology, 40 pages.
De Messemaeker, Joke et al., “Correlation between Cu microstructure and TSV Cu pumping,” 2014 Electronic Components & Technology Conference, pp. 613-619.
Di Cioccio, L. et al., “An overview of patterned metal/dielectric surface bonding: Mechanism, alignment and characterization,” Journal of The Electrochemical Society, 2011, vol. 158, No. 6, pp. P81-P86.
Ganesan, Kousik, “Capable copper electrodeposition process for integrated circuit—substrate packaging manufacturing,” A dissertation presented in partial fulfillment of the requirments for the degree Doctor of Philosophy, Arizona State University, May 2018, 320 pages.
Gondcharton, P. et al., “Kinetics of low temperature direct copper-copper bonding,” Microsyst Technol, 2015, vol. 21, pp. 995-1001.
Heryanto, A. et al., “Effect of copper TSV annealing on via protrustion for TSV wafer fabrication,” Journal of Electronic Materials, 2012, vol. 41, No. 9, pp. 2533-2542.
Hobbs, Anthony et al., “Evolution of grain and micro-void structure in electroplated copper interconnects,” Materials Transactions, 2002, vol. 43, No. 7, pp. 1629-1632.
Huang, Q., “Effects of impurity elements on isothermal grain growth of electroplated copper,” Journal of The Electrochemical Society, 2018, vol. 165, No. 7, pp. D251-D257.
Huang, Q., “Impurities in the electroplated sub-50 nm Cu lines: The effects of the plating additives,” Journal of The Electrochemical Society, 2014, vol. 161, No. 9, pp. D388-D394.
Jiang, T et al., “Plasticity mechanism for copper extrusion in through-silicon vias for three-dimensional interconnects,” Applied Physics Letters, 2013, vol. 103, pp. 211906-1-211906-5.
Juang, Jing-Ye et al., “Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient,” Scientific Reports, Sep. 17, 2018, vol. 8, 11 pages.
Ker, Ming-Dou et al., “Fully process-compatible layout design on bond pad to improve wire bond reliability in CMOS Ics,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” I. Effects of Anodic Step in the Absence of an Organic Additives, Journal of The Electrochemical Society, 2012, vol. 159, No. 9, pp. D538-D543.
Kim, Myung Jun et al., “Characteristics of pulse-reverse electrodeposited Cu thin film,” II. Effects of Organic Additives, Journal of The Electrochemical Society, 2012, vol. 159, No. 9, pp. D544-D548.
Liu, C. et al., “Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu,” Scientific Reports, May 12, 2015, 5:09734, pp. 1-11.
Liu, Chien-Min et al., “Effect of grain orientations of Cu seed layers on the growth of <111 >-oriented nanotwinned Cu,” Scientific Reports, 2014, vol. 4, No. 6123, 4 pages.
Liu, Zi-Yu et al. “Detection and formation mechanism of micro-defects in ultrafine pitch Cu—Cu direct bonding,” Chin. Phys. B, 2016, vol. 25, No. 1, pp. 018103-1-018103-7.
Lu, L. et al., “Grain growth and strain release in nanocrystalline copper,” Journal of Applied Physics, vol. 89, Issue 11, pp. 6408.
Mendez, Julie Marie, “Characterization of copper electroplating and electropolishing processes for semiconductor interconnect metallization,” Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy, Department of Chemical Engineering, Case Western Reserve University, Aug. 2009, 140 pages.
Menk, L.A. et al., “Galvanostatic plating with a single additive electrolyte for bottom-up filling of copper in Mesoscale TSVs,” Microsystems and Engineering Sciences Applications (MESA) Complex, Sandia National Laboratories, Albuquerque, New Mexico, 2019 J. Electrochem. Soc. 166, 17 pages.
Moriceau, H. et al., “Overview of recent direct wafer bonding advances and applications,” Advances in Natural Sciences—Nanoscience and Nanotechnology, 2010, 11 pages.
Mott, D. et al., “Synthesis of size-controlled and shaped copper nanoparticles,” Langmuir, 2007, vol. 23, No. 10, pp. 5740-5745.
Nakanishi, H. et al., “Studies on SiO2—SiO2 bonding with hydrofluoric acid. Room temperature and low stress bonding technique for MEMS,” Sensors and Actuators, 2000, vol. 79, pp. 237-244.
Oberhammer, J. et al., “Sealing of adhesive bonded devices on wafer level,” Sensors and Actuators A, 2004, vol. 110, No. 1-3, pp. 407-412, see pp. 407-412, and Figures 1(a)-1(l), 6 pages.
Ortleb, Thomas et al., “Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler,” Proc. Of SPIE, 2008, vol. 6922, 11 pages.
Parthasaradhy, N.V., “Practical Electroplating Handbook,” 1989, Prentice-Hall, Inc., pp. 54-56.
Plobi, A. et al., “Wafer direct bonding: tailoring adhesion between brittle materials,” Materials Science and Engineering Review Journal, 1999, R25, 88 pages.
Saraswat, Stanford Presentation, Cu Interconnect slides, web page web.stanford.edu/class/ee311/NOTES/Cu_Interconnect_Slides.pdf, 19 pages.
Song, Xiaohui, “Atomic study of copper-copper bonding using nanoparticles,” Journal of Electronic Packaging, Jun. 2020, vol. 142, 5 pages.
Song, Xiaoning, “Microstructure and mechanical properties of electrodeposited copper films,” A thesis submitted to the College of Engineering and Physical Sciences of the University of Birmingham, 2011, web page etheses.bham.ac.uk/id/eprint/1764/, 111 pages.
Suga et al., “Bump-less interconnect for next generation system packaging,” Electronic Components and Technology Conference, 2001, IEEE, pp. 1003-1008.
Suga, T., “Feasibility of surface activated bonding for ultra-fine pitch interconnection—A new concept of bump-less direct bonding for system level packaging,” The University of Tokyo, Research Center for Science and Technology, 2000 Electronic Components and Technology Conference, 2000 IEEE, pp. 702-705.
Swingle, Karen D., “Nanograin Copper Deposition Using an Impinging Jet Electrode,” A Thesis submitted in partial satisfaction of the requirements of the degree of Master of Science, University of California, San Diego, 2013, 102 pages.
Takahashi, K. et al., “Transport phenomena that control electroplated copper filling of submicron vias and trenches, Journal of The Electrochemical Society,” 1999, vol. 146, No. 12, pp. 4499-4503.
Zheng, Z. et al., “Study of grain size effect of Cu metallization on interfacial microstructures of solder joints,” Microelectronics Reliability, 2019, vol. 99, pp. 44-51.
Related Publications (1)
Number Date Country
20210225801 A1 Jul 2021 US
Divisions (1)
Number Date Country
Parent 15336192 Oct 2016 US
Child 15937149 US
Continuations (2)
Number Date Country
Parent 16740670 Jan 2020 US
Child 17140519 US
Parent 15937149 Mar 2018 US
Child 16740670 US
Continuation in Parts (1)
Number Date Country
Parent 14796381 Jul 2015 US
Child 15336192 US