Cu pillar bump with non-metal sidewall protection structure

Information

  • Patent Grant
  • 8841766
  • Patent Number
    8,841,766
  • Date Filed
    Wednesday, March 24, 2010
    14 years ago
  • Date Issued
    Tuesday, September 23, 2014
    9 years ago
Abstract
Sidewall protection processes are provided for Cu pillar bump technology, in which a protection structure on the sidewalls of the Cu pillar bump is formed of at least one of non-metal material layers, for example a dielectric material layer, a polymer material layer, or combinations thereof.
Description
TECHNICAL FIELD

This disclosure relates to integrated circuit fabrication, and more particularly, to bump structures in integrated circuit device.


BACKGROUND

Modern integrated circuits are made up of literally millions of active devices, such as transistors and capacitors. These devices are initially isolated from each other, but are later interconnected together to form functional circuits. Typical interconnect structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. Interconnections are increasingly determining the limits of performance and the density of modern integrated circuits. On top of the interconnect structures, bond pads are formed and exposed on the surface of the respective chip. Electrical connections are made through bond pads to connect the chip to a package substrate or another die. Bond pads can be used for wire bonding or flip-chip bonding.


Flip-chip packaging utilizes bumps to establish electrical contact between a chip's I/O pads and the substrate or lead frame of the package. Structurally, a bump actually contains the bump itself and a so-called under bump metallurgy (UBM) located between the bump and an I/O pad. An UBM generally contains an adhesion layer, a barrier layer and a wetting layer, arranged in that order, on the I/O pad. The bumps themselves, based on the material used, are classified as solder bumps, gold bumps, copper pillar bumps and bumps with mixed metals. Recently, copper pillar bump technology has been proposed. Instead of using a solder bump, the electronic component is connected to a substrate by means of a copper pillar bump, which achieves finer pitch with minimum probability of bump bridging, reduces the capacitance load for the circuits, and allows the electronic component to perform at higher frequencies.


Cu pillar bump flip-chip assembly has the following advantages: (1) better thermal/electric performance, (2) higher current carrying capacity, (3) better resistance to electromigration, thus longer bump life, (4) minimizing molding voids—more consistence gaps between Cu pillar bumps. Also, a lower cost substrate is possible by using Cu-pillar controlled solder spreading, eliminating lead-free teardrop design. However, copper has a tendency to be oxidized during the manufacturing process. Oxidized copper pillars may lead to poor adhesion of an electronic component to a substrate. The poor adhesion may cause serious reliability concerns due to high leakage currents. Oxidized copper pillars may also lead to underfill cracking along the interface of the underfill and the copper pillars. The cracks may propagate to the underlying low-K dielectric layers or to the solder used to bond the copper pillars to the substrate. A sidewall protection layer is therefore needed to prevent copper oxidation, but the conventional method of processing the Cu pillar sidewall suffers from high process costs and interface delamination issues. Currently, an immersion tin (Sn) process is employed to provide a tin layer on the Cu pillar sidewalls, but there are still concerns regarding process costs, adhesion between Sn and underfill, and issues of solder wetting onto sidewalls, which is a challenge for fine pitch package technology in new generation chips.





BRIEF DESCRIPTION OF THE DRAWINGS

The aforementioned objects, features and advantages of this disclosure will become apparent by referring to the following detailed description of the embodiments with reference to the accompanying drawings, wherein:



FIGS. 1A-1F are cross-sectional views depicting an exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process;



FIGS. 2A-2D are cross-sectional views depicting an exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process;



FIGS. 3A-3F are cross-sectional views depicting an exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process; and



FIGS. 4A-4G are cross-sectional views depicting an exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process.





DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

This disclosure provides embodiments of sidewall protection processes for Cu pillar bump technology, in which a protection structure on the sidewalls of the Cu pillar bump is formed of at least one of several non-metal material layers, for example a dielectric material layer, a polymer material layer or combinations thereof. As employed throughout this disclosure, the term “Cu pillar bump” refers to a bump structure comprising a conductive pillar (a post or a standoff) formed of copper or copper alloys. The Cu pillar bump may be applied directly on an electrical pad or a redistribution layer on a semiconductor chip for a flip chip assembly or other similar application.


Reference will now be made in detail to the present embodiments, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts. In the drawings, the shape and thickness of one embodiment may be exaggerated for clarity and convenience. This description will be directed in particular to elements forming part of, or cooperating more directly with, an apparatus in accordance with the present disclosure. It is to be understood that elements not specifically shown or described may take various forms. Further, when a layer is referred to as being on another layer or “on” a substrate, it may be directly on the other layer or on the substrate, or intervening layers may also be present. Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. It should be appreciated that the following figures are not drawn to scale; rather, these figures are merely intended for illustration.


Herein, FIGS. 1A-1F are cross-sectional views depicting an exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process.


With reference to FIG. 1A, an example of a semiconductor substrate 10 used for bump fabrication is employed in a semiconductor integrated circuit fabrication, and integrated circuits may be formed therein and/or thereupon. The semiconductor substrate is defined to mean any construction comprising semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, a silicon-on-insulator (SOI) substrate, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used. The substrate 10 may further comprise a plurality of isolation features (not shown), such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. The isolation features may define and isolate the various microelectronic elements (not shown). Examples of the various microelectronic elements that may be formed in the substrate 10 include transistors (e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high voltage transistors, high frequency transistors, p-channel and/or n-channel field effect transistors (PFETs/NFETs), etc.); resistors; diodes; capacitors; inductors; fuses; and other suitable elements. Various processes are performed to form the various microelectronic elements including deposition, etching, implantation, photolithography, annealing, and other suitable processes. The microelectronic elements are interconnected to form the integrated circuit device, such as a logic device, memory device (e.g., static random access memory or SRAM), radio frequency (RF) device, input/output (I/O) device, system-on-chip (SoC) device, combinations thereof, and other suitable types of devices.


The substrate 10 further includes inter-layer dielectric layers and a metallization structure overlying the integrated circuits. The inter-layer dielectric layers in the metallization structure include low-k dielectric materials, un-doped silicate glass (USG), silicon nitride, silicon oxynitride, or other commonly used materials. The dielectric constants (k value) of the low-k dielectric materials may be less than about 3.9, or less than about 2.8. Metal lines in the metallization structure may be formed of copper or copper alloys. One skilled in the art will realize the formation details of the metallization layers. A pad region (not shown) is a top metallization layer formed in a top-level inter-layer dielectric layer, which is a portion of conductive routes and has an exposed surface treated by a planarization process, such as chemical mechanical polishing (CMP), if necessary. Suitable materials for the pad region may include, but are not limited to, for example copper (Cu), aluminum (Al), AlCu, copper alloy, or other mobile conductive materials. The pad region is used in the bonding process to connect the integrated circuits in the respective chip to external features.


The substrate 10 further includes a passivation layer (not shown) formed overlying and exposing a portion of the pad region for allowing subsequent Cu pillar bump processes. The passivation layer is formed of a non-organic material selected from un-doped silicate glass (USG), silicon nitride, silicon oxynitride, silicon oxide, and combinations thereof. Alternatively, the passivation layer is formed of a polymer layer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used.


Referring to FIG. 1A, the formation of an under-bump-metallurgy (UBM) layer 12 including a first UBM layer 14 and a second UBM layer 16 is performed on the substrate 10. For example, the UBM layer 12 is formed on the exposed portion of the pad region, and extends to a portion of the passivation layer. The first UBM layer 14, also referred to as a diffusion barrier layer or a glue layer, is formed of titanium, tantalum, titanium nitride, tantalum nitride, or the like by physical vapor deposition (PVD) or sputtering. The first UBM layer 14 is deposited to a thickness of between about 500 and 2000 angstrom, for example, to a thickness of about 1000 Angstrom. The second UBM layer 16 is a copper seed layer formed on the first UBM layer 14 by physical vapor deposition (PVD) or sputtering. The second UBM layer 16 may be formed of copper alloys that include silver, chromium, nickel, tin, gold, and combinations thereof. The second UBM layer 16 is deposited to a thickness of between about 500 and 10000 angstrom, for example, to a thickness of about 5000 Angstrom. In one embodiment, the UBM layer 12 includes a first UBM layer 14 formed of Ti and a second UBM layer 16 formed of Cu.


Next, a mask layer 18 is provided on the UBM layer 12 and patterned with an opening 19 exposing a portion of the UBM layer 12 for Cu pillar bump formation. The mask layer 18 may be a dry film or a photoresist film. The opening 19 is then partially or fully filled with a conductive material with solder wettability. In an embodiment, a copper (Cu) layer 20 is formed in the opening 19 to contact the underlying UBM layer 12. As used throughout this disclosure, the term “copper (Cu) layer” is intended to include substantially a layer including pure elemental copper, copper containing unavoidable impurities, and copper alloys containing minor amounts of elements such as tantalum, indium, tin, zinc, manganese, chromium, titanium, germanium, strontium, platinum, magnesium, aluminum or zirconium. The formation methods may include sputtering, printing, electro plating, electroless plating, and commonly used chemical vapor deposition (CVD) methods. For example, electro-chemical plating (ECP) is carried out to form the Cu layer 20. In an exemplary embodiment, the thickness of the Cu layer 20 is greater than 25 μm, also referred to as a Cu pillar 20 having a top surface 20a and sidewall surfaces 20b hereinafter. In another exemplary embodiment, the thickness of the Cu layer is greater than 40 μm. For example, the Cu layer is of about 40-50 μm thickness, or about 40-70 μm thickness, although the thickness may be greater or smaller.


With reference to FIG. 1B, the mask layer 18 is removed, exposing the top surface 20a and sidewall surfaces 20b of the Cu pillar 20 and a portion of the second UBM layer 16 outside the Cu pillar 20. In the case the mask layer 18 is a dry film, it may be removed using an alkaline solution. If the mask layer 18 is formed of photoresist, it may be removed using acetone, n-methyl pyrrolidone (NMP), dimethyl sulfoxide (DMSO), aminoethoxy ethanol, and the like. Then as shown in FIG. 1C, the exposed portion of the second UBM layer 16 is etched to expose a portion 14a of the underlying first UBM layer 14 outside the Cu pillar 20. In an exemplary embodiment, the step of removing the second UBM layer 16 is a dry etching or a wet etching. For example, an isotropic wet etching (often referred to as flash etching due to its short duration) using an ammonia-based acid is employed. Thus, underlying the Cu pillar 20, the patterned second UBM layer 16″ has sidewall surfaces 16b. Also, outside the Cu pillar 20, a portion 14a of the first UBM layer 14 is exposed.


With reference to FIG. 1D, a protection layer 22 is formed on the resulting structure, for example by a blanket deposition. In details, the protection layer 22 is deposited to cover the top surface 20a and the sidewall surfaces 20b of the Cu pillar 20, the sidewall surfaces 16b of the patterned second UBM layer 16″ and the exposed portion 14a of the first UBM layer 14. The protection layer 22 is a non-metal material layer, for example a dielectric material layer, a polymer material layer, or combinations thereof. The protection layer 22 may be a single material layer, or a multi-layered structure. The protection layer 22 is between about 500 Angstroms to about 10000 Angstroms thick. In one embodiment, the protection layer 22 is a dielectric material layer formed of silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, alternating layers of silicon oxide and silicon nitride, or combinations thereof by using any of a variety of deposition techniques, including thermal oxidation, LPCVD (low-pressure chemical vapor deposition), APCVD (atmospheric-pressure chemical vapor deposition), or PECVD (plasma-enhanced chemical vapor deposition). In one embodiment, the protection layer 22 is a polymer material layer and is formed of a polymer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used. The polymer material layer is soft, and hence has the function of reducing inherent stresses on respective portions of the substrate. In addition, the polymer layer is easily formed to a thickness of tens of microns.


Next, referring to FIG. 1E, certain regions of the protection layer 22 are etched to leave the portion along the sidewall surfaces 20b and 16b and the exposed portion 14a, forming a sidewall spacer 22a, also referred to as a sidewall protection structure 22a, in which the protection layer 22 overlying the top surface 20a of the Cu pillar 20 is removed at this step. Advances in lithography and masking techniques and dry etch processes, such as RIE (Reactive Ion Etching) and other plasma etching processes, allow production of the sidewall spacer 22a.


The first UBM layer 14 is then etched using the created structure 22a as the mask, exposing the underlying substrate 10. A dry etching process, such as standard RIE procedures, using Cl2/BCl3 as an etchant, is used to etch the first UBM layer 14. Therefore, the dry etching process patterns the exposed portion 14a as a peripheral surface 14a″ covered by the sidewall spacer 22a, and exposes sidewall surfaces 14b. Since the peripheral surface 14a extends outside the sidewall surface 16b of the patterned second UBM layer 16″, the area of the patterned first UBM layer 14″ is greater than the area of the patterned second UBM layer 16″.


The completed bump structure 24 includes the Cu pillar 20, the patterned second UBM layer 16″ underlying the Cu pillar 20, the patterned first UBM layer 14″ underlying the patterned second UBM layer 16″, and the sidewall protection structure 22a covering the sidewall surfaces 20b and 16b and the peripheral surface 14a. The substrate 10 is then sawed and packaged onto a package substrate, or another die, with solder balls or Cu bumps mounted on a pad on the package substrate or the other die.



FIG. 1F is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly. The structure shown in FIG. 1E is flipped upside down and attached to another substrate 100 at the bottom. The substrate 100 may be a package substrate, board (e.g., a print circuit board (PCB)), or other suitable substrate. The bump structure 24 contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 102 on contact pads and/or conductive traces, forming a joint structure 104 coupling the two substrates 10 and 100. The joint solder layer 102 may be a eutectic solder material including alloys of tin, lead, silver, copper, nickel, bismuth, or combinations thereof. An exemplary coupling process includes a flux application, chip placement, reflowing of melting solder joints, and cleaning of flux residue. The integrated circuit substrate 10, the joint structure 104, and the other substrate 100 may be referred to as a packaging assembly 200, or in the present embodiment, a flip-chip packaging assembly.


The disclosure provides a sidewall protection structure formed of a non-metal material on the Cu pillar sidewall to prevent the Cu pillar sidewall from oxidation and increase adhesion between the Cu pillar sidewall and a subsequently formed underfill material. Compared with the conventional immersion Sn method followed by an annealing process, the non-metal sidewall protection structure can adjust substrate stress, and prevent solder wetting to the Cu pillar around the perimeter of the UBM layer during the reflow process. This is applicable to fine pitch bump schemes.



FIGS. 2A-2D are cross-sectional views depicting another exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process, while explanation of the same or similar portions to the description in FIGS. 1A to 1F will be omitted.


With reference to FIG. 2A, after forming the patterned second UBM layer 16″ underlying the Cu pillar 20, a barrier layer 30 is formed on the resulted structure. In one embodiment, the barrier layer 30 covers the top surface 20a and the sidewall surfaces 20b of the Cu pillar 20, and the sidewall surfaces 16b of the patterned second UBM layer 16″. In other embodiments, the barrier layer 30 covers at least one of the top surface 20a of the Cu pillar 20, the sidewall surfaces 20b of the Cu pillar 20, or the sidewall surfaces 16b of the patterned second UBM layer 16″. The barrier layer 30 acts as a diffusion barrier layer for preventing copper in the Cu pillar 20 from diffusing into bonding material, such as solder, that is used to bond the substrate 10 to external features. The barrier layer 30 may be also referred to a protection layer, an antioxidation layer or an oxide resistant layer employed for preventing the surfaces 20a and 20b of the Cu pillar 20 from oxidation during subsequent processes. The barrier layer 30 may be formed through depleting surfaces by selective thermal CVD method. The barrier layer 30 is a copper-containing material layer including a group III element, a group IV element, a group V element listed in the periodic table or any combination thereof. In one embodiment, the copper-containing material layer may include, but is not limited to, boron (B), germanium (Ge), silicon (Si), carbon (C), nitrogen (N), phosphorous (P) or combinations thereof. In some embodiments, the copper-containing material layer is a CuGeN layer, a CuGe layer, a CuSi layer, a CuSiN layer, a CuSiGeN layer, a CuN layer, a CuP layer, a CuC layer, a CuB layer, or combinations thereof, using a selective CVD with gases containing B, Ge, Si, C, N, P or combinations thereof (e.g., B2H6, CH4, SiH4, GeH4, NH3, PH3). For an example of forming a CuGeN layer, a deoxidization treatment step (NH3 treatment) is performed followed by a GeH4 CVD process. The barrier layer 30 becomes a diffusion barrier layer to passivate the Cu from the solder in subsequent joint processes so that the IMC formation is controlled to become thinner and more uniform. The thickness of the barrier layer 30 is thin, because its formation is like a diffusion process. In one embodiment, the thickness of the barrier layer 30 is less than or equal to 10 nm.


With reference to FIG. 2B, a protection layer 22 is formed on the barrier layer 30 and the exposed portion of the first UBM layer 14, for example by a blanket deposition. The protection layer 22 is a non-metal material layer, for example a dielectric material layer, a polymer material layer or combinations thereof. The protection layer 22 may be a single material layer, or a multi-layered structure. In one embodiment, the protection layer 22 is a dielectric material layer formed of silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, alternating layers of silicon oxide and silicon nitride, or combinations thereof. In one embodiment, the protection layer 22 is a polymer material layer and is formed of a polymer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like.


Next, referring to FIG. 2C, certain regions of the protection layer 22 are etched to leave the portion along the sidewall surfaces 20b and 16b, forming a sidewall spacer 22a, also referred to as a sidewall protection structure 22a, in which the protection layer 22 overlying the top surface 20a of the Cu pillar 20 is removed at this step. The barrier layer 30 may remain on the top surface 20a of the Cu pillar at this step. The first UBM layer 14 is then etched using the created structure 22a as the mask, exposing the underlying substrate 10. The completed bump structure 32 includes the Cu pillar 20, the patterned second UBM layer 16″ underlying the Cu pillar 20, the patterned first UBM layer 14″ underlying the patterned second UBM layer 16″ and having the peripheral surface 14a extending outside the sidewall surface 16b, the sidewall protection structure 22a covering the sidewall surfaces 20b and 16b and the peripheral surface 14a, and the barrier layer 30 covering the sidewall protection structure 22a and the top surface 20a of the Cu pillar 20.


Referring to FIG. 2D, the substrate 10 is flipped upside down and attached to another substrate 100 at the bottom. The bump structure 32 contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 102 on contact pads and/or conductive traces, forming a joint structure 104 coupling the two substrates 10 and 100. The joint solder layer 102 may be a eutectic solder material including alloys of tin, lead, silver, copper, nickel, bismuth, or combinations thereof. The integrated circuit substrate 10, the joint structure 104, and the other substrate 100 may be referred to as a packaging assembly 200, or in the present embodiment, a flip-chip packaging assembly.


The disclosure provides a barrier layer containing copper and germanium formed between a sidewall protection structure and the Cu pillar sidewall, which can further prevent the Cu pillar sidewall from oxidation and increase adhesion between the Cu pillar sidewall and a subsequently formed underfill material. This prevents solder wetting to the Cu pillar around the perimeter of the UBM layer during the reflow process. The barrier layer will not compromise Rs much.



FIGS. 3A-3F are cross-sectional views depicting another exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process, while explanation of the same or similar portions to the description in FIGS. 1A to 1F will be omitted.


With reference to FIG. 3A, after forming the Cu layer 20 in the opening 19 of the mask layer 18, a cap layer 40 is formed on the top surface 20a of the Cu layer 20. The cap layer 40 could act as a barrier layer to prevent copper in the Cu pillar from diffusing into bonding material, such as solder alloy, that is used to bond the substrate 10 to external features. The prevention of copper diffusion increases the reliability and bonding strength of the package. The cap layer 40 may include nickel (Ni), tin, tin-lead (SnPb), gold (Au), silver, palladium (Pd), indium (In), nickel-palladium-gold (NiPdAu), nickel-gold (NiAu), other similar materials, or alloy by plating methods. The cap layer 40 has a thickness about 1-10 μm. In some embodiments, the cap layer 40 is a multi-layer structure including a first metal film 42 and a second metal film 44. The first metal film 42 may include Ni, Au, Pd, Ni-base alloy, Au-base alloy, or Pd-base alloy. The second metal film 44 may include Ni, Au, Pd, Ni-base alloy, Au-base alloy, or Pd-base alloy. In one embodiment, the first metal film 42 is a Ni film, and the second metal film 44 is an Au film. Each of the first metal film 42 and the second metal film 44 has a thickness about 1-5 μm.


Next, as shown in FIG. 3B, the mask layer 18 is removed, and the top surface 40a and the sidewall surfaces 40b of the cap layer 40 are exposed. Then the exposed portion of the second UBM layer 16 is etched as shown in FIG. 3C, exposing the underlying first UBM layer 14 outside the Cu pillar 20. Thereafter, as depicted in FIG. 3D, a protection layer 22 is formed on the resulted structure, to cover the cap layer 40, the sidewall surfaces 20b of the Cu pillar 20, the sidewall surfaces 16b of the patterned second UBM layer 16″ and the exposed portion of the first UBM layer 14. After performing lithography and masking techniques and dry etch processes, a sidewall protection structure 22a is created. The top surface 40a of the cap layer 40 is therefore exposed. The first UBM layer 14 is then etched using the created structure 22a as the mask, exposing the underlying substrate 10.


The completed bump structure 46 includes the Cu pillar 20, the cap layer 40 on the top surface 20a of the Cu pillar 20, the patterned second UBM layer 16″ underlying the Cu pillar 20, the patterned first UBM layer 14″ underlying the patterned second UBM layer 16″ and having the peripheral surface 14a extending outside the sidewall surface 16b of the patterned second UBM layer 16″, and the sidewall protection structure 22a covering the sidewall surfaces 40b, 20b and 16b and the peripheral surface 14a. The substrate 10 is then sawed and packaged onto a package substrate, or another die, with solder balls or Cu bumps mounted on a pad on the package substrate or the other die.


Referring to FIG. 3F, the substrate 10 is flipped upside down and attached to another substrate 100 at the bottom. The bump structure 46 contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 102 on contact pads and/or conductive traces, forming a joint structure 104 coupling the two substrates 10 and 100. The integrated circuit substrate 10, the joint structure 104, and the other substrate 100 may be referred to as a packaging assembly 200, or in the present embodiment, a flip-chip packaging assembly.



FIGS. 4A-4G are cross-sectional views depicting another exemplary embodiment of a portion of a semiconductor device at stages in a Cu pillar bump process, while explanation of the same or similar portions to the description in FIGS. 3A to 3F will be omitted.


With reference to FIG. 4A, after forming the Cu layer 20 in the opening 19 of the mask layer 18, a cap layer 40 is formed on the top surface 20a of the Cu layer 20, and then a solder layer 50 is formed on the top surface 40a of the cap layer 40. The solder layer 50 may be made of Sn, SnAg, Sn—Pb, SnAgCu (with Cu weight percentage less than 0.3%), SnAgZn, SnZn, SnBi—In, Sn—In, Sn—Au, SnPb, SnCu, SnZnIn, or SnAgSb, etc., formed by plating processes. In one embodiment, the solder layer 50 is a lead-free solder layer. For a lead-free solder system, the solder layer is SnAg with Ag content being controlled lower than 3.0 weight percent (wt %). For example, the lead-free solder layer is SnAg with Ag content being controlled at about 2.5 weight percent (wt %).


Next, as shown in FIG. 4B, the mask layer 18 is stripped, and the top surface 50a and the sidewall surfaces 50b of the solder layer 50 are exposed. The sidewall surfaces 40b of the cap layer 40 are also exposed at this step. Then the exposed portion of the second UBM layer 16 is etched as shown in FIG. 4C, exposing the underlying first UBM layer 14 outside the Cu pillar 20. Thereafter, as depicted in FIG. 4D, a protection layer 22 is formed on the resulted structure, to cover the solder layer 50, the cap layer 40, the sidewall surfaces 20b of the Cu pillar 20, the sidewall surfaces 16b of the patterned second UBM layer 16″ and the exposed portion of the first UBM layer 14. After performing lithography and masking techniques and dry etch processes, a sidewall protection structure 22a is created as depicted in FIG. 4E. The top surface 50a of the solder layer 50 is therefore exposed. The first UBM layer 14 is then etched using the created structure 22a as the mask, exposing the underlying substrate 10.


With reference to FIG. 4F, a reflowing process is performed on the solder layer 50 to form a reflowed solder layer 50″ on the cap layer 40. This completes a bump structure 52 that includes the Cu pillar 20, the cap layer 40 on the Cu pillar 20, the reflowed solder layer 50″ on the cap layer 40, the patterned second UBM layer 16″ underlying the Cu pillar 20, the patterned first UBM layer 14″ underlying the patterned second UBM layer 16″ and having the peripheral surface 14a extending outside the sidewall surface 16b of the patterned second UBM layer 16″, and the sidewall protection structure 22a covering the sidewall surfaces 40b, 20b and 16b and the peripheral surface 14a. The substrate 10 is then sawed and packaged onto a package substrate, or another die, with solder balls or Cu bumps mounted on a pad on the package substrate or the other die.


Referring to FIG. 4G, the substrate 10 is flipped upside down and attached to another substrate 100 at the bottom. The bump structure 52 contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 102 on contact pads and/or conductive traces, forming a joint structure 104 coupling the two substrates 10 and 100. The integrated circuit substrate 10, the joint structure 104, and the other substrate 100 may be referred to as a packaging assembly 200, or in the present embodiment, a flip-chip packaging assembly.


In the preceding detailed description, the disclosure is described with reference to specifically exemplary embodiments thereof. It will, however, be evident that various modifications, structures, processes, and changes may be made thereto without departing from the broader spirit and scope of the disclosure, as set forth in the claims. The specification and drawings are, accordingly, to be regarded as illustrative and not restrictive. It is understood that the disclosure is capable of using various other combinations and environments and is capable of changes or modifications within the scope of the inventive concept as expressed herein.

Claims
  • 1. An integrated circuit device, comprising: a semiconductor substrate;a pad region formed on the semiconductor substrate;a first under-bump-metallurgy (UBM) layer formed on the pad region, wherein sidewalls of the first UBM layer;a second UBM layer formed on the first UBM layer and having a sidewall surface, wherein an area of the first UBM layer is greater than an area of the second UBM layer;a conductive pillar formed on the second UBM layer, and having a sidewall surface and a top surface; anda protection structure formed on the sidewall surface of the conductive pillar and an entirety of the sidewall surface of the second UBM layer, without covering the sidewalls of the first UBM layer;wherein the protection structure is formed of a non-metal material, and the conductive pillar is formed of a copper-containing layer.
  • 2. The integrated circuit device of claim 1, wherein the protection structure comprises a silicon nitride layer.
  • 3. The integrated circuit device of claim 1, wherein the protection structure comprises a polyimide layer.
  • 4. The integrated circuit device of claim 1, wherein the protection structure comprises a dielectric layer, a polymer layer, or combinations thereof.
  • 5. The integrated circuit device of claim 1, wherein the first UBM layer comprises a peripheral surface not covered by the second UBM layer.
  • 6. The integrated circuit device of claim 5, wherein the protection structure is formed on the peripheral surface of the first UBM layer.
  • 7. The integrated circuit device of claim 1, further comprising a barrier layer formed between the conductive pillar and the protection structure, wherein the barrier layer is a copper-containing material layer comprising germanium (Ge).
  • 8. The integrated circuit device of claim 7, wherein the barrier layer is formed on the top surface of the conductive pillar.
  • 9. The integrated circuit device of claim 1, further comprising a cap layer on the top surface of the conductive pillar.
  • 10. The integrated circuit device of claim 9, wherein the cap layer comprises a Ni layer on the top surface of the conductive pillar, and an Au layer on the Ni layer.
  • 11. The integrated circuit device of claim 9, further comprising a solder layer formed on the cap layer.
  • 12. The integrated circuit device of claim 9, wherein the protection structure covers at least a portion of the sidewall surface of the cap layer.
  • 13. The integrated circuit device of claim 1, wherein the first UBM layer comprises titanium, and the second UBM layer comprises copper.
  • 14. The integrated circuit of claim 1, wherein the protection structure covers the entire sidewall surface of the conductive pillar and the entire sidewall surface of the second UBM layer.
  • 15. An integrated circuit device, comprising: a semiconductor substrate;a pad region electrically connected to the semiconductor substrate;a bump structure formed on the pad region;a non-metal protection structure covering at least a portion of the sidewall of the bump structure; anda barrier layer formed between the bump structure and the non-metal protection structure, wherein the barrier layer comprises copper and germanium (Ge),wherein the bump structure comprises a first under-bump-metallurgy (UBM) layer formed on the semiconductor substrate, a second UBM layer formed on the first UBM layer and having a sidewall surface, and a copper pillar formed on the second UBM layer, wherein an area of the first UBM layer is greater than an area of the second UBM layer, and the non-metal protection structure covers an entirety of a sidewall surface of the second UBM layer, and sidewalls of the first UBM layer are not covered.
  • 16. The integrated circuit device of claim 15, wherein the non-metal protection structure comprises a silicon nitride layer, a polyimide layer, or combinations thereof.
  • 17. The integrated circuit device of claim 15, wherein the bump structure comprises a Ni layer on the copper pillar, and an Au layer on the Ni layer.
  • 18. The integrated circuit device of claim 15, wherein the bump structure comprises a Ni layer on the copper pillar, and a solder layer on the Ni layer.
  • 19. A packaging assembly, comprising: a first substrate;a pad region electrically connected to the first substrate;a bump structure formed on the pad region, wherein the bump structure comprises a first under-bump-metallurgy (UBM) layer formed on the first substrate, a second UBM layer formed on the first UBM layer and having a sidewall surface, and a copper pillar formed on the second UBM layer, wherein an area of the first UBM layer is greater than an area of the second UBM layer, and sidewalls of the first UBM layer are not covered;a non-metal protection structure covering at least a portion of the sidewall of the bump structure, wherein the non-metal protection structure exposes sidewall surfaces of the first UBM layer, is in direct contact with the peripheral portion, and covers an entirety the sidewall surface of the second UBM layer;a second substrate; anda joint solder layer formed between the second substrate and the bump structure.
  • 20. The packaging assembly of claim 19, wherein the non-metal protection structure comprises a silicon nitride layer, a polyimide layer, or combinations thereof.
RELATED APPLICATIONS

The present application is related to U.S. provisional No. 61/230,012 filed on Jul. 30, 2009, U.S. provisional No. 61/238,749 filed on Sep. 1, 2009, U.S. provisional No. 61/258,393 filed on Nov. 5, 2009, all of which are expressly incorporated by reference herein in their entirety.

US Referenced Citations (171)
Number Name Date Kind
3528090 Van Laer Sep 1970 A
4380867 Antson Apr 1983 A
4720740 Clements et al. Jan 1988 A
4811082 Jacobs et al. Mar 1989 A
4990462 Sliwa, Jr. Feb 1991 A
5027188 Owada et al. Jun 1991 A
5075253 Sliwa, Jr. Dec 1991 A
5134460 Brady et al. Jul 1992 A
5380681 Hsu Jan 1995 A
5391917 Gilmour et al. Feb 1995 A
5466635 Lynch et al. Nov 1995 A
5481133 Hsu Jan 1996 A
5510298 Redwine Apr 1996 A
5747881 Hosomi et al. May 1998 A
5767001 Bertagnolli et al. Jun 1998 A
5998292 Black et al. Dec 1999 A
6002177 Gaynes et al. Dec 1999 A
6015749 Liu et al. Jan 2000 A
6130162 Liu et al. Oct 2000 A
6184060 Siniaguine Feb 2001 B1
6187678 Gaynes et al. Feb 2001 B1
6191493 Yasunaga et al. Feb 2001 B1
6218281 Watanabe et al. Apr 2001 B1
6229216 Ma et al. May 2001 B1
6229220 Saitoh et al. May 2001 B1
6232563 Kim et al. May 2001 B1
6236115 Gaynes et al. May 2001 B1
6271059 Bertin et al. Aug 2001 B1
6279815 Correia et al. Aug 2001 B1
6291891 Higashi et al. Sep 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6339029 Yu et al. Jan 2002 B1
6355501 Fung et al. Mar 2002 B1
6372859 Sakata et al. Apr 2002 B1
6426556 Lin Jul 2002 B1
6434016 Zeng et al. Aug 2002 B2
6448168 Rao et al. Sep 2002 B1
6448661 Kim et al. Sep 2002 B1
6464895 Forat et al. Oct 2002 B2
6465892 Suga Oct 2002 B1
6472293 Suga Oct 2002 B1
6492198 Hwang Dec 2002 B2
6538333 Kong Mar 2003 B2
6562653 Ma et al. May 2003 B1
6570248 Ahn et al. May 2003 B1
6576381 Hirano et al. Jun 2003 B1
6578754 Tung Jun 2003 B1
6592019 Tung Jul 2003 B2
6599778 Pogge et al. Jul 2003 B2
6600222 Levardo Jul 2003 B1
6607938 Kwon et al. Aug 2003 B2
6639303 Siniaguine Oct 2003 B2
6661085 Kellar et al. Dec 2003 B2
6664129 Siniaguine Dec 2003 B2
6693361 Siniaguine et al. Feb 2004 B1
6731003 Joshi et al. May 2004 B2
6740582 Siniaguine May 2004 B2
6762076 Kim et al. Jul 2004 B2
6770958 Wang et al. Aug 2004 B2
6790748 Kim et al. Sep 2004 B2
6800930 Jackson et al. Oct 2004 B2
6818545 Lee et al. Nov 2004 B2
6828677 Yap et al. Dec 2004 B2
6841883 Farnworth et al. Jan 2005 B1
6853076 Datta et al. Feb 2005 B2
6869831 Cowens et al. Mar 2005 B2
6879041 Yamamoto et al. Apr 2005 B2
6882030 Siniaguine Apr 2005 B2
6887769 Kellar et al. May 2005 B2
6908565 Kim et al. Jun 2005 B2
6908785 Kim Jun 2005 B2
6917119 Lee et al. Jul 2005 B2
6924551 Rumer et al. Aug 2005 B2
6943067 Greenlaw Sep 2005 B2
6946384 Kloster et al. Sep 2005 B2
6958539 Lay et al. Oct 2005 B2
6962867 Jackson et al. Nov 2005 B2
6962872 Chudzik et al. Nov 2005 B2
6975016 Kellar et al. Dec 2005 B2
7008867 Lei Mar 2006 B2
7012333 Shimoyama et al. Mar 2006 B2
7030481 Chudizk et al. Apr 2006 B2
7037804 Kellar et al. May 2006 B2
7049170 Savastiouk et al. May 2006 B2
7056807 Kellar et al. Jun 2006 B2
7060601 Savastiouk et al. Jun 2006 B2
7064436 Ishiguri et al. Jun 2006 B2
7071546 Fey et al. Jul 2006 B2
7087538 Staines et al. Aug 2006 B2
7111149 Eilert Sep 2006 B2
7122912 Matsui Oct 2006 B2
7151009 Kim et al. Dec 2006 B2
7157787 Kim et al. Jan 2007 B2
7193308 Matsui Mar 2007 B2
7215033 Lee et al. May 2007 B2
7262495 Chen et al. Aug 2007 B2
7271497 Joshi et al. Sep 2007 B2
7276799 Lee et al. Oct 2007 B2
7279795 Periaman et al. Oct 2007 B2
7297574 Thomas et al. Nov 2007 B2
7307005 Kobrinsky et al. Dec 2007 B2
7317256 Williams et al. Jan 2008 B2
7320928 Kloster et al. Jan 2008 B2
7335972 Chanchani Feb 2008 B2
7345350 Sinha Mar 2008 B2
7348210 Daubenspeck et al. Mar 2008 B2
7355273 Jackson et al. Apr 2008 B2
7391112 Li et al. Jun 2008 B2
7402442 Condorelli et al. Jul 2008 B2
7402515 Arana et al. Jul 2008 B2
7410884 Ramanathan et al. Aug 2008 B2
7432592 Shi et al. Oct 2008 B2
7462942 Tan et al. Dec 2008 B2
7494845 Hwang et al. Feb 2009 B2
7501311 Tsai Mar 2009 B2
7528494 Furukawa et al. May 2009 B2
7531890 Kim May 2009 B2
7557597 Anderson et al. Jul 2009 B2
7566650 Lin et al. Jul 2009 B2
7576435 Chao Aug 2009 B2
7592246 Akram Sep 2009 B2
7648899 Banerji et al. Jan 2010 B1
7700475 Kao et al. Apr 2010 B1
7825511 Daubenspeck et al. Nov 2010 B2
7834450 Kang Nov 2010 B2
7928534 Hsu et al. Apr 2011 B2
7973418 Alvarado et al. Jul 2011 B2
8097827 Marion Jan 2012 B2
8227926 Topacio et al. Jul 2012 B2
8242610 Yamaguchi et al. Aug 2012 B2
8309451 Do et al. Nov 2012 B2
20010000321 Takeda et al. Apr 2001 A1
20020014705 Ishio et al. Feb 2002 A1
20030156969 Choi et al. Aug 2003 A1
20030216025 Lu et al. Nov 2003 A1
20040094842 Jimarez et al. May 2004 A1
20040166661 Lei Aug 2004 A1
20050001324 Dunn et al. Jan 2005 A1
20050077624 Tan et al. Apr 2005 A1
20050098888 Akram May 2005 A1
20050179131 Homma Aug 2005 A1
20060043603 Ranade et al. Mar 2006 A1
20060166402 Lim et al. Jul 2006 A1
20060237842 Shindo Oct 2006 A1
20060278982 Solo De Zaldivar Dec 2006 A1
20070023904 Salmon Feb 2007 A1
20070080451 Suh Apr 2007 A1
20070108606 Watanabe May 2007 A1
20070284684 Naito et al. Dec 2007 A1
20070284706 Cherian Dec 2007 A1
20070287279 Daubenspeck et al. Dec 2007 A1
20080197489 Chyi Aug 2008 A1
20080296764 Chang et al. Dec 2008 A1
20090011543 Karta et al. Jan 2009 A1
20090026608 Tsai et al. Jan 2009 A1
20090045511 Meyer et al. Feb 2009 A1
20090096109 Iwasaki Apr 2009 A1
20090098724 Yu Apr 2009 A1
20090130840 Wang et al. May 2009 A1
20090149016 Park et al. Jun 2009 A1
20090197114 Shih et al. Aug 2009 A1
20090229857 Fredenberg et al. Sep 2009 A1
20100090318 Hsu et al. Apr 2010 A1
20100109159 Ho et al. May 2010 A1
20100187685 Morifuji et al. Jul 2010 A1
20100230810 Kang et al. Sep 2010 A1
20110101523 Hwang et al. May 2011 A1
20110101526 Hsiao et al. May 2011 A1
20110156256 Kang et al. Jun 2011 A1
20110227216 Tseng et al. Sep 2011 A1
20110281432 Farooq et al. Nov 2011 A1
Foreign Referenced Citations (4)
Number Date Country
101404269 Apr 2009 CN
05-335313 Dec 1993 JP
2000-228420 Aug 2000 JP
200744142 Mar 2007 TW
Non-Patent Literature Citations (10)
Entry
U.S. Appl. No. 61/230,012, filed Jul. 30, 2009, Chung-Shi Liu.
U.S. Appl. No. 61/238,749, filed Sep. 1, 2009, Chung-Shi Liu.
U.S. Appl. No. 61/258,393, filed Nov. 5, 2009, Chien Ling Hwang et al.
U.S. Appl. No. 61/258,414, filed Nov. 5, 2009, Chien Ling Hwang et al.
Kim, K. S., et al., “The Interface Formation and Adhesion of Metals (Cu, Ta, and Ti) and Low Dielectric Constant Polymer-Like Organic Thin Films Deposited by Plasma-Enhanced Chemical Vapor Deposition Using Para-Xylene Precursor”, Thin Solid Films 377-378 (2000), pp. 122-128.
Kim, K. J., et al., “Chemical Interaction, Adhesion and Diffusion Properties at the Interface of Cu and Plasma-Treated Thiophene-Based Plasma Polymer (ThioPP) Films”, Thin Solid Films 398-399 (2001), pp. 657-662.
Du, M., et al., “The Interface Formation of Copper and Low Dielectric Constant Fluoro-Polymer: Plasma Surface Modification and its Effect on Copper Diffusion”, Journal of Applied Physics, vol. 85, No. 3, Feb. 1, 1999, pp. 1496-1502.
Jiang, Liang-You, et al., “Reduced Copper Diffusion in Layered Silicate/Fluorinated Polyimide (6FDS-ODA) Nanocomposites”, Journal of Applied Polymer Science, vol. 92, 1422-1425 (2004).
Office Action dated May 3, 2012 from corresponding application No. CN 201010257039.3.
Office Action dated Mar. 13, 2013 from corresponding application No. TW 099125728.
Related Publications (1)
Number Date Country
20110233761 A1 Sep 2011 US
Provisional Applications (3)
Number Date Country
61230012 Jul 2009 US
61238749 Sep 2009 US
61258393 Nov 2009 US