Method of semiconductor integrated circuit fabrication

Information

  • Patent Grant
  • 10672656
  • Patent Number
    10,672,656
  • Date Filed
    Monday, October 5, 2015
    8 years ago
  • Date Issued
    Tuesday, June 2, 2020
    3 years ago
Abstract
A method of fabricating a semiconductor integrated circuit (IC) is disclosed. A first conductive feature and a second conductive feature are provided. A first hard mask (HM) is formed on the first conductive feature. A patterned dielectric layer is formed over the first and the second conductive features, with first openings to expose the second conductive features. A first metal plug is formed in the first opening to contact the second conductive features. A second HM is formed on the first metal plugs and another patterned dielectric layer is formed over the substrate, with second openings to expose a subset of the first metal plugs and the first conductive features. A second metal plug is formed in the second openings.
Description
BACKGROUND

The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC design and material have produced generations of ICs where each generation has smaller and more complex circuits than previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.


This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed. When a semiconductor device such as a metal-oxide-semiconductor field-effect transistor (MOSFET) is scaled down through various technology nodes, interconnects of conductive lines and associated dielectric materials that facilitate wiring between the transistors and other devices play a more important role in IC performance improvement. Although existing methods of fabricating IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, challenges rise to develop a more robust metal plug formation for interconnection structures. It is desired to have improvements in this area





BRIEF DESCRIPTION OF THE DRAWINGS

The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.



FIG. 1 is a flowchart of an example method for fabricating a semiconductor integrated circuit (IC) constructed according to various aspects of the present disclosure.



FIGS. 2 to 8 are cross-sectional views of an example semiconductor integrated circuit (IC) at fabrication stages constructed according to the method of FIG. 1.





DETAILED DESCRIPTION

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.


Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.


The present disclosure is directed to, but not otherwise limited to, a FinFET device. The FinFET device, for example, may be a complementary metal-oxide-semiconductor (CMOS) device comprising a P-type metal-oxide-semiconductor (PMOS) FinFET device and an N-type metal-oxide-semiconductor (NMOS) FinFET device. The following disclosure will continue with a FinFET example to illustrate various embodiments of the present invention. It is understood, however, that the application should not be limited to a particular type of device, except as specifically claimed.



FIG. 1 is a flowchart of one embodiment of a method 100 of fabricating one or more semiconductor devices according to aspects of the present disclosure. The method 100 is discussed in detail below, with reference to a semiconductor device precursor 200 shown in FIG. 2 and a semiconductor device 500 shown in FIGS. 3A-3B, 4 to 8 for the sake of example. It is understood that additional steps can be provided before, during, and after the method, and some of the steps described can be replaced or eliminated for other embodiments of the method.


Referring to FIGS. 1 and 2, the method 100 begins at step 102 by receiving a semiconductor device precursor 200. The semiconductor device precursor 200 includes a substrate 210. In the present embodiment, the substrate 210 includes silicon. In alternative embodiments, the substrate may include germanium, silicon germanium, gallium arsenide or other appropriate semiconductor materials. Alternatively and for some embodiments, the substrate 210 may include an epitaxial layer. For example, the substrate 210 may have an epitaxial layer overlying a bulk semiconductor. Further, the substrate 210 may be strained for performance enhancement. For example, the epitaxial layer may include a semiconductor material different from those of the bulk semiconductor such as a layer of silicon germanium overlying bulk silicon or a layer of silicon overlying a bulk silicon germanium formed by a process including selective epitaxial growth (SEG). Furthermore, the substrate 210 may include a semiconductor-on-insulator (SOI) structure such as a buried dielectric layer. Also alternatively, the substrate 210 may include a buried dielectric layer such as a buried oxide (BOX) layer, such as that formed by a method referred to as separation by implantation of oxygen (SIMOX) technology, wafer bonding, SEG, or other appropriate methods. In fact various embodiments may include any of a variety of substrate structures and materials.


The semiconductor device precursor 200 may also include various isolation features 220. The isolation features 220 separate various device regions in the substrate 210. The isolation features 220 include different structures formed by using different processing technologies. For example, the isolation features 220 may include shallow trench isolation (STI) features. The formation of a STI may include etching a trench in the substrate 210 and filling in the trench with insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. A chemical mechanical polishing (CMP) may be performed to polish back excessive insulator materials and planarize the top surface of the isolation features 220.


The semiconductor device precursor 200 also includes one or more first conductive features 230. In one embodiment, the first conductive feature 230 may include high-k/metal gates (HK/MGs), a three-dimension HK/MGs wrapping over a fin-like structure. As an example, the HK/MGs may include a gate dielectric layer and metal gate (MG). The gate dielectric layer may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials. The MG may include a single layer or multi layers, such as a metal layer, a liner layer, a wetting layer, and an adhesion layer. The MG may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, or any suitable materials. Additionally, sidewall spacers 240 are formed on the sidewalls of the HK/MGs. The sidewall spacers 240 may include a dielectric material such as silicon oxide. Alternatively, the sidewall spacers 240 may include silicon nitride, silicon carbide, silicon oxynitride, or combinations thereof. The sidewall spacers 240 may be formed by deposition and dry etching processes known in the art.


In another embodiment, the first conductive features 230 include electrodes, capacitors, resistors or a portion of a resistor. In yet another embodiment, the first conductive features 230 include a portion of the interconnect structure. For example, the first conductive features 230 include contacts, metal vias, or metal lines.


The semiconductor device precursor 200 also includes second conductive features 250 in the substrate 210. A top surface to the second conductive feature 250 may not be at a same horizontal level as a top surface of the first conductive feature 230. In one embodiment, the top surface of the second conductive features 250 are horizontally below the top surface of the first conductive features 230 with a depth d, as shown in FIG. 2. In one embodiment, the second conductive features 250 include doped regions (such as sources or drains), or gate electrodes. In another embodiment, the second conductive features 250 include electrodes, capacitors, resistors or a portion of a resistor, or a portion of the interconnect structure.


The semiconductor device precursor 200 also includes a first dielectric layer 260 deposited over the substrate 210, including between/over each of the first conductive features 230 and over the second conductive features 250. The first dielectric layer 260 includes silicon oxide, silicon nitride, oxynitride, a dielectric material having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), or other suitable dielectric material layer. The first dielectric layer 260 includes a single layer or multiple layers. A CMP may be performed to remove excessive the first dielectric layer 260 to expose the top surface of the first conductive features 230, as well as to provide a substantially planar top surface for the first conductive features 230 and the first dielectric layer 260.


Referring FIGS. 1 and 3A-3B, once the semiconductor device precursor 200 is received, the method 100 proceeds to step 104 by forming a first hard mask (HM) layer 310 on the first conductive features 230. In one embodiment, the first conductive features 230 are recessed first by a selective etch to form first trenches 305, as shown in FIG. 3A. The selective etch may include a wet etch, a dry etch, or a combination thereof. In another embodiment, the first trenches 305 are formed by proper processes including patterning and etching. The first trenches 305 are then filled in by the first HM layer 310 by suitable techniques, such as chemical vapor deposition (CVD), or physical vapor deposition (PVD). The first HM layer 310 includes titanium oxide, tantalum oxide, silicon nitride, silicon oxide, silicon carbide, and silicon carbide nitride. In the present embodiments, the HM layer 310 is different from the first dielectric layer 260 to achieve etching selectivity during a subsequent etch, which will be described later. In one embodiment, a CMP process is then performed to remove excessive the first HM layer 310. The CMP process is controlled such that the first HM layer 310 above the first trenches 305 are removed, thus the portion of the first HM layer 310 in the first trenches 305 become a top layers of the first conductive features 230, as shown in FIG. 3B.


Referring FIGS. 1 and 4, the method 100 proceeds to step 106 by forming a second dielectric layer 410, with first openings 415, over the substrate 210. The second dielectric layer 410 is similar in many respects to the first dielectric layer 260 discussed above in association with FIG. 2. At the bottom of the first openings 415, a portion of the second conductive features 250 are exposed. The first openings 415 may be formed by lithography patterning and etching processes. In present embodiment, the first openings 415 are formed aligning to the respective second conductive features 250 and not aligning to the first conductive features 230, as shown in FIG. 4. With a substantial same depth of the first openings 415, an etching process window may be improved. In one embodiment, the first openings 415 are formed by an etch process that selectively removes the second dielectric layer 410 and the first dielectric layer 260 but substantially does not etch the sidewall spacers 240 and the first HMs 310. Thus, with protection of the sidewall spacers 240 and the first HMs 310, constrains of overlay in first opening patterning process is relaxed and etching process window is improved as well.


Referring to FIGS. 1 and 5, the method 100 proceeds to step 108 by forming first metal plugs 420 in the first openings 415 to form full contacts extending down to the second conductive features 250. In one embodiment, a first barrier layer is formed in the first openings 415 first by a proper deposition technique, such as PVD and CVD. The first barrier layer may include a metal and is electrically conductive but does not permit inter-diffusion and reactions between the first dielectric material layer 260 and a first metal layer 420 to be filled in the first openings 415. The first barrier layer may include refractory metals and their nitrides. In various examples, the first barrier layer includes TiN, TaN, Co, WN, TiSiN, TaSiN, or combinations thereof. The first barrier layer may include multiple films.


The first metal layer 420 then fills in the first openings 415, as well as over the first barrier layer. The first metal layer 420 may include copper (Cu), aluminum (Al), tungsten (W), copper or copper alloy, such as copper magnesium (CuMn), copper aluminum (CuAl) or copper silicon (CuSi), or other suitable conductive material. The first metal layer 420 may be deposited by y PVD, CVD, metal-organic chemical vapor deposition (MOCVD), or plating.


In the present embodiment, after the first openings 415 are filled by the first metal layer 420, a recess is performed to etch back the excessive first metal layer 420, as well as the excessive first barrier layer, and the second dielectric layer 410 and provide a substantially planar surface. The recess is controlled that it etches back until the top surface of the first HMs 310 are exposed. As an example, a CMP is performed to polish back the excessive first metal layer 420, as well as the excessive first barrier layer, and the second dielectric layer 410. Thus a portion of the first metal layer 420, which fills in the first openings 415, forms the first metal plugs 420. By filling in the first openings 415 first and then recessing back, the first metal plugs 420 are formed with a self-alignment nature. Also combining with the sidewall spacers 240, the first HMs 310 provide an electrical isolation to prevent electrical short between the first metal plugs 425 and the first conductive features 230.


Referring to FIGS. 1 and 6, the method 100 proceeds to step 110 by forming second HMs 510 on the first metal plugs 425. The second HMs 510 are formed similarly in many respects to the first HMs 310 discussed above in association with FIGS. 3A and 3B. The second HM layer 510 includes titanium oxide, tantalum oxide, silicon nitride, silicon oxide, silicon carbide, and silicon carbide nitride. In one embodiment, the first metal plugs 420 are recessed first by a selective etch to form second trenches. The second trenches are then filled in by the second HM layer 510 and a recess process is then performed to remove excessive the HM layer 510. Therefore the portion of the second HM layer 510 filled in the second trenches become top layers of the first metal plugs 420. In the present embodiment, the recess is controlled that it etches back the second HM layer 510 until the top surface of the first HMs 310 are exposed. Thus, as top layers on the first conductive features 230 and the first metal plugs 420, respectively, the firsts HM 310 and the second HMs 510 provide isolation layers to prevent electric short between them and a to-be-formed second metal plug, which will be described later.


Referring to FIGS. 1 and 7, the method 100 proceeds to step 112 by forming the third dielectric layer 610, with second openings 615, over the substrate 210, including over the first conductive features 230 and the first metal plugs 420. The third dielectric layer 610 and the second openings 615 are formed similarly in many respects to the second dielectric layer 410 and the first openings 415 discussed above in association with FIG. 4. The second openings 615 are formed to expose a subset of the first conductive features 230 and the first metal plugs 420 (which connecting with the second conductive feature 250). For the sake of clarity to better describing the method 100, now labeling the subset of the first conductive features 230, the first metal plugs 420 and the second conductive features 250 with the reference number 230A, 420A and 250A, respectively, and labeling rest of the first conductive features 230, the first metal plugs 420 and the second conductive feature 250 with the reference number 230B, 420B and 250B, respectively. In one embodiment, the second openings 615 are formed by lithography patterning and etching processes. The first HM 310 on the first conductive feature 230A and the second HM 510 on the first metal plug 420A are moved during the etch process as well. With a substantial same depth of the second opening 615, an etching process window is improved.


Referring to FIGS. 1 and 8, the method 100 proceeds to step 114 by forming a second metal plugs 710 in the second openings 615 to form a full contact extending down to the first conductive features 230A and the first metal plugs 420A. Thus, the second metal plugs 710 are formed similarly in many respects to the first metal plug 420 discussed above in association with FIG. 5. In one embodiment, a second barrier layer is formed in the second openings 615 first. The second barrier layer may include refractory metals and their nitrides. In various examples, the second barrier layer includes TiN, TaN, Co, WN, TiSiN, TaSiN, or combinations thereof. The second barrier layer may include multiple films.


The second metal layer 710 then fills in the second openings 615, including depositing over the second barrier layer. The second metal layer 710 may include copper (Cu), aluminum (Al), tungsten (W), copper or copper alloy, such as copper magnesium (CuMn), copper aluminum (CuAl) or copper silicon (CuSi), or other suitable conductive material. A recess is then performed to etch back the excessive second metal layer 710, as well as the excessive second barrier layer, to form the second metal plugs 710 and a substantial planar surface with the third dielectric layer 610.


By filling in the second openings 615 first and then recessing back, the second metal plugs 710 are formed with a self-alignment nature. During the forming of the second metal plugs 710, the first HMs 310 and the second HMs 510 enhance protection between the first conductive features 230B and the first metal plugs 420B to the second metal plugs 710, which relaxes process constrains and improves process window.


In the present embodiment, a vertical conductive connection for the second conductive feature 250A, is provided by two metal plugs on top of each other, the second metal plug 710 on top of the first metal plug 420A, instead of one metal plug. Usually during forming an opening, the opening becomes narrower as it extends deeper. Thus, to achieve a targeted bottom size of an opening, a deeper opening usually need a wider opening at its top. Therefore a spacing separating two adjacent openings may become smaller. A smaller separating spacing may make process window be narrower, such as a smaller tolerance for misalignment. It may also lead more constrains in reducing device packing density. Thus, instead of one deeper opening, in this two plug scheme, each opening forms as a portion of the deeper opening and therefore a smaller top width (comparing with a deeper opening) may be achieved.


Additional steps can be provided before, during, and after the method 100, and some of the steps described can be replaced, eliminated, or moved around for additional embodiments of the method 100. For example, prior to depositing the second dielectric layer 410 (in step 106), an etch stop layer is deposited over the substrate to enhance etch process control in recessing the first metal layer 420 (in step 108). The device 500 may undergo further CMOS or MOS technology processing to form various features and regions.


Based on the above, the present disclosure offers a method for fabricating a semiconductor device. The method employs forming a hard mask as a top layer of a conductive feature to protect the respective conductive feature during a formation of a metal plug to connect another conductive feature. The method also employs forming a metal plug with a self-alignment nature. The method demonstrates an integration of interconnection with a relaxed process constrains, enhanced electrical short protection and improved process window.


The present disclosure provides many different embodiments of fabricating a semiconductor IC that provide one or more improvements over existing approaches. In one embodiment, a method for fabricating a semiconductor integrated circuit (IC) includes providing a first conductive feature and a second conductive feature in a substrate. The first and the second conductive features are separated by a first dielectric layer. A top surface of the second conductive feature is below a top surface of the first conductive feature, horizontally. The method also includes forming a first hard mask (HM) as a top layer on the first conductive feature, depositing a second dielectric layer over the first and the second conductive features, forming the first openings in the first and the second dielectric layers to expose the second conductive features, forming a first metal plug in the first openings to contact the second conductive features, forming a second HM as a top layer on the first metal plugs, depositing a third dielectric layer over the first conductive feature and the first metal plugs, forming second openings in the third dielectric layer to expose a subset of the first conductive features and the first metal plugs and forming second metal plugs in the second openings to connect to the subset of first conductive features and the first metal plugs.


In another embodiment, a method for fabricating a semiconductor IC includes providing a device precursor. The device precursor includes high-k/metal gates (HK/MGs) in a substrate, sidewall spacers along HK/MG sidewalls, conductive features in the substrate and a first dielectric layer to separate the HK/MGs and the second conductive features. A top surface of the conductive feature is below a top surface of the HK/MGs, horizontally. The method also includes recessing the HK/MGs to form first trenches on the HK/MGs, forming first hard masks (HM) in the first trenches, therefore the first HMs are top layers on the HK/MGs. The method also includes depositing a second dielectric layer over the HK/MGs and the conductive features, forming first openings in the second and the first dielectric layers to expose the conductive features, filling in the first openings with a first metal layer to contact the conductive features, recessing the first metal layer and the second dielectric layer until the first HMs are exposed. Therefore first metal plugs are formed in the first openings. The method also includes forming a second HM as a top layer on the first metal plugs, depositing a third dielectric layer over the HK/MGs and the first metal plugs, forming second openings in the third dielectric layer to expose a subset of the HK/MGs and the first metal plugs and forming second metal plugs in the second openings to connect with the subset of HK/MGs and the first metal plugs.


In yet another embodiment, a method for fabricating a semiconductor IC includes providing a first conductive feature and a second conductive feature in a substrate, separated by a first dielectric layer. The method also includes forming a first hard mask (HM) as a top layer on the first conductive feature, forming a first patterned dielectric layer over the first and the second conductive features. Therefore the first patterned dielectric layer having openings to expose the second conductive features. The method also includes forming a first metal plug in the first openings to connect the second conductive features, forming a second HM as a top layer on the first metal plugs, forming a second patterned dielectric layer over the first conductive features and the first metal plugs. Therefore the second patterned dielectric layer having second openings to expose the first conductive feature and a subset of the first metal plugs and forming second metal plugs in the second openings to connect to connect the first conductive feature and the subset of the first metal plugs.


The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims
  • 1. A method for fabricating a semiconductor integrated circuit (IC), the method comprising: providing a first conductive feature on a substrate and a second conductive feature in the substrate, wherein the first conductive feature is surrounded by a spacer and by a first dielectric layer;forming a first hard mask (HM) as a top layer on the first conductive feature, wherein the forming the first HM includes forming a first trench by removing a portion of the first conductive feature and thereafter filling the first trench with a dielectric material;depositing a second dielectric layer directly on the first dielectric layer and on the first HM;selectively etching a first opening through the first and second dielectric layers, wherein the first opening exposes the second conductive feature, and wherein the selectively etching is performed such that the first and second dielectric layers have an etching selectivity with the first HM;forming a first metal plug in the first opening to connect the second conductive feature;removing the second dielectric layer;forming a second HM as a top layer on the first metal plug;forming a third dielectric layer over the first dielectric layer, over the first HM, and over the second HM;simultaneously etching a plurality of second openings through the third dielectric layer such that the second openings are isolated from each other, wherein at least a first one of the second openings extends vertically through the first HM and exposes the first conductive feature, and wherein at least a second one of the second openings extends vertically through the second HM and exposes the first metal plug; andforming second metal plugs in the second openings to connect to the first conductive feature and the first metal plug.
  • 2. The method of claim 1, wherein the forming of the second HM includes: recessing the first metal plug to form a second trench;depositing a second HM material over the substrate, including a first portion filling in the second trench and a second portion extending beyond the second trench; andremoving the second portion of the second HM material.
  • 3. The method of claim 1, wherein the first conductive feature includes a gate stack.
  • 4. The method of claim 3, wherein the second conductive feature includes a source/drain region.
  • 5. The method of claim 1, wherein portions of the second HM still remain on the first metal plug after the forming of the second metal plugs.
  • 6. The method of claim 1, wherein at least a first one of the second metal plugs is formed to have a different lateral dimension from at least a second one of the second metal plugs.
  • 7. The method of claim 1, wherein the first opening is etched such that the first opening is spaced apart from the spacer by a portion of the first dielectric layer.
  • 8. The method of claim 1, wherein the forming the first trench comprises performing an etching process to an entire upper surface of the first conductive feature.
  • 9. A method comprising: receiving a substrate having a first dielectric layer disposed thereupon, a first conductive feature and spacers disposed within the first dielectric layer, and a plurality of second conductive features disposed within the substrate;recessing an entire upper portion of the first conductive feature to form a first trench, wherein side surfaces of the first trench are defined by the spacers, and wherein a bottom surface of the first trench is defined by a remaining portion of the first conductive feature after the recessing;filling the first trench to form a first hard mask, wherein the first dielectric layer, the first hard mask, and the spacers are formed to have substantially co-planar upper surfaces;forming a second dielectric layer directly on the first dielectric layer and the first hard mask;recessing a portion of the first dielectric layer and a portion of the second dielectric layer to define a plurality of second trenches that each extends through the first and second dielectric layers and that each exposes a respective one of the second conductive features, wherein the recessing is performed using an etching process in which the first and second dielectric layers have an etching selectivity with the first hard mask;forming a plurality of first plugs in the second trenches, wherein each of the first plugs is electrically coupled to a respective one of the second conductive features;removing the second dielectric layer;recessing a portion of each of the first plugs to define a plurality of third trenches that exposes an upper surface of the first plugs;forming a plurality of second hard masks within the third trenches;forming a third dielectric layer over the first dielectric layer, the spacers, the first hard mask, and the second hard masks;forming a plurality of second plugs that each extends vertically through the third dielectric layer and through the second hard masks to electrically couple to the first plug, wherein a first one of the second plugs is formed to be adjacent to the first conductive feature, and wherein a second one of the second plugs is formed to be away from the first conductive feature such that at least one of the first plugs is located between the first conductive feature and the second one of the second plugs; andforming a third plug that extends vertically through the third dielectric layer and through the first hard mask to electrically couple to the first conductive feature.
  • 10. The method of claim 9, wherein the first conductive feature includes a gate feature.
  • 11. The method of claim 10, wherein the second conductive features each includes a source/drain feature.
  • 12. The method of claim 9, wherein the recessing of the first dielectric layer to define the second trenches uses an etchant selected to avoid etching of the first hard mask to expose the first conductive feature.
  • 13. The method of claim 9, wherein the forming of the second plugs includes: recessing a first portion of the third dielectric layer and the second hard masks to define a plurality of fourth trenches that extends vertically through the third dielectric layer and the second hard masks, wherein the fourth trenches expose the first plugs; anddepositing the second plugs within the fourth trenches.
  • 14. The method of claim 13, wherein the forming of the third plug includes: recessing a second portion of the third dielectric layer and the first hard mask to define a fifth trench that extends vertically through the third dielectric layer and the first hard mask, wherein the fifth trench exposes the first conductive feature, and wherein the recessing of the first portion of the third dielectric layer and the recessing of the second portion of the third dielectric layer are simultaneously performed; anddepositing the third plug within the fifth trench.
  • 15. The method of claim 9, wherein the first plug, the second plugs, and the third plug are all visible in a same cross-sectional side view.
  • 16. A method comprising: receiving a substrate having disposed thereupon: a first conductive feature and a second conductive feature, wherein a top surface of the first conductive feature is above a top surface of the second conductive feature;a first dielectric layer disposed alongside the first conductive feature and on the second conductive feature; anda spacer disposed between the first conductive feature and the first dielectric layer;partially removing the first conductive feature and forming a first hard mask on a remaining portion of the first conductive feature, wherein a top surface of the first hard mask is substantially coplanar with a top surface of the first dielectric layer and with a top surface of the spacer, and wherein the first hard mask and the first dielectric layer have different material compositions;forming a second dielectric layer directly on the first dielectric layer and the first hard mask;etching the first dielectric layer to form a first trench in the first dielectric layer and the second dielectric layer, wherein the first trench exposes the second conductive feature, wherein the etching is configured to have an etching selectivity between the first and second dielectric layers and the first hard mask, such that the etching etches away the first and second dielectric layers without removing the first hard mask;forming a first plug in the first trench and on the second conductive feature;removing the second dielectric layer;forming a second hard mask on the first plug;forming a third dielectric layer over: the first dielectric layer, the spacer, the first hard mask, and the second hard mask;simultaneously etching a first opening and a second opening, wherein the first opening is etched to extend vertically through the third dielectric layer and the second hard mask to expose the first plug, and wherein the second opening is etched to extend vertically through the third dielectric layer and the first hard mask to expose the first conductive feature; andfilling the first opening and the second opening with a conductive material, thereby forming a second plug in the first opening and a third plug in the second opening.
  • 17. The method of claim 16, wherein the first conductive feature includes a gate stack.
  • 18. The method of claim 17, wherein the second conductive feature includes a source/drain feature.
  • 19. The method of claim 16, wherein the forming of the first trench uses an etchant composition selected to avoid substantial etching of the first hard mask.
  • 20. The method of claim 16, wherein a top surface of the second hard mask is substantially coplanar with the top surface of the first hard mask.
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a division of U.S. application Ser. No. 14/066,889, filed on Oct. 30, 2013, titled “Method of Semiconductor Integrated Circuit Fabrication”, the entire disclosure of which is incorporated herein by reference.

US Referenced Citations (151)
Number Name Date Kind
5151168 Gilton Sep 1992 A
5451543 Woo Sep 1995 A
5592024 Aoyama Jan 1997 A
5935868 Fang Aug 1999 A
6077770 Hsu Jun 2000 A
6124192 Jeng Sep 2000 A
6168704 Brown Jan 2001 B1
6174800 Jang Jan 2001 B1
6214662 Sung Apr 2001 B1
6251790 Jeong Jun 2001 B1
6258712 Wang Jul 2001 B1
6348414 Yun Feb 2002 B1
6370306 Sato Apr 2002 B1
6380071 Onuma Apr 2002 B1
6387759 Park May 2002 B1
6445050 Chediak Sep 2002 B1
6495888 Yamato Dec 2002 B1
6511912 Chopra Jan 2003 B1
6518671 Yang Feb 2003 B1
6528418 Kim Mar 2003 B1
6544850 Schnabel Apr 2003 B1
6750140 Hohnsdorf Jun 2004 B2
6781182 Drynan Aug 2004 B2
6787469 Houston Sep 2004 B2
6797611 Wu Sep 2004 B1
7078334 Chowdhury Jul 2006 B1
7157333 Kim Jan 2007 B1
7166536 Laermer Jan 2007 B1
7396759 van Schravendijk Jul 2008 B1
7563701 Chang Jul 2009 B2
7696086 Hsu Apr 2010 B2
7767533 Kim Aug 2010 B2
7811941 Becker Oct 2010 B1
7880303 Yu Feb 2011 B2
7956386 Bae Jun 2011 B2
8288238 Huang Oct 2012 B2
8536040 Park Sep 2013 B1
9105497 Hong Aug 2015 B2
9184095 Scheiper Nov 2015 B2
9425105 Basker Aug 2016 B1
9589807 Huang Mar 2017 B1
9941162 Chanemougame Apr 2018 B1
10037943 Zhao Jul 2018 B2
20010005611 Kim Jun 2001 A1
20020081799 Kim Jun 2002 A1
20020090837 Chung Jul 2002 A1
20020105088 Yang Aug 2002 A1
20020187625 Shimooka Dec 2002 A1
20030015732 Park Jan 2003 A1
20030032219 Nam Feb 2003 A1
20030127705 Kwak Jul 2003 A1
20030162353 Park Aug 2003 A1
20040110368 Hui Jun 2004 A1
20040121599 Aminpur Jun 2004 A1
20040192026 Chen Sep 2004 A1
20050085072 Kim Apr 2005 A1
20060051948 Kim Mar 2006 A1
20060263985 Kang Nov 2006 A1
20070059919 Ooka Mar 2007 A1
20070066047 Ye Mar 2007 A1
20070077741 Frohberg Apr 2007 A1
20070087554 Louis Apr 2007 A1
20070093050 Son Apr 2007 A1
20070123040 Hwang May 2007 A1
20070249151 Kadoya Oct 2007 A1
20080073694 Willer Mar 2008 A1
20080166874 Deligianni Jul 2008 A1
20080253160 Popp Oct 2008 A1
20080254608 Seo Oct 2008 A1
20080258310 Fukumoto Oct 2008 A1
20090002118 Gasparyan Jan 2009 A1
20090014796 Liaw Jan 2009 A1
20090159978 Matsubara Jun 2009 A1
20090223043 Hsu Sep 2009 A1
20090224327 Liou Sep 2009 A1
20090289324 Goodlin Nov 2009 A1
20100044869 Zhang Feb 2010 A1
20100093168 Naik Apr 2010 A1
20100197141 Tu Aug 2010 A1
20110042752 Mayuzumi Feb 2011 A1
20110062502 Yin Mar 2011 A1
20110084289 Tseng Apr 2011 A1
20110123783 Sherrer May 2011 A1
20110156107 Bohr Jun 2011 A1
20110215409 Li Sep 2011 A1
20110260264 Luo Oct 2011 A1
20110269303 Marxsen Nov 2011 A1
20110272767 Yin Nov 2011 A1
20120025317 Zhong Feb 2012 A1
20120032275 Haran Feb 2012 A1
20120097919 Speck Apr 2012 A1
20120100678 Sako Apr 2012 A1
20120126421 Lee May 2012 A1
20120146106 Richter Jun 2012 A1
20120196432 Yan Aug 2012 A1
20120241863 Tsai Sep 2012 A1
20120264261 Zhu Oct 2012 A1
20120273848 Fan Nov 2012 A1
20120273899 Wann Nov 2012 A1
20130015529 Zhong Jan 2013 A1
20130020623 Tsui Jan 2013 A1
20130119474 Schultz May 2013 A1
20130137257 Wei May 2013 A1
20130137260 Alptekin May 2013 A1
20130175583 Yuan Jul 2013 A1
20130256767 Pradhan Oct 2013 A1
20130285157 Yin Oct 2013 A1
20130295734 Niebojewski Nov 2013 A1
20130299922 Choi Nov 2013 A1
20130309856 Jagannathan Nov 2013 A1
20130320412 Yamasaki Dec 2013 A1
20130320452 Wann Dec 2013 A1
20130320456 Golonzka Dec 2013 A1
20140008713 Toh Jan 2014 A1
20140051239 Breyta Feb 2014 A1
20140077305 Pethe Mar 2014 A1
20140209984 Liang Jul 2014 A1
20140213042 Lei Jul 2014 A1
20140252433 Shieh Sep 2014 A1
20140252630 Chang Sep 2014 A1
20140264499 Yuan Sep 2014 A1
20140306291 Alptekin Oct 2014 A1
20140346575 Chen Nov 2014 A1
20140353734 Xie Dec 2014 A1
20150017768 Wann Jan 2015 A1
20150021683 Xie Jan 2015 A1
20150041909 Bouche Feb 2015 A1
20150048455 Basker Feb 2015 A1
20150069625 Li Mar 2015 A1
20150097247 Cai Apr 2015 A1
20150118837 Shieh Apr 2015 A1
20150170966 Tung Jun 2015 A1
20150206804 Liou Jul 2015 A1
20150214113 Bouche Jul 2015 A1
20150236106 Zaleski Aug 2015 A1
20150307997 Lockard Oct 2015 A1
20150332962 Chen Nov 2015 A1
20150364371 Yen Dec 2015 A1
20160027692 Shieh Jan 2016 A1
20160043075 Lavoie Feb 2016 A1
20160064514 Bouche Mar 2016 A1
20160181399 Jun Jun 2016 A1
20160336271 Sell Nov 2016 A1
20160379925 Ok Dec 2016 A1
20170053804 Lu Feb 2017 A1
20170103915 Tsai Apr 2017 A1
20170194211 Lai Jul 2017 A1
20170287780 Park Oct 2017 A1
20170288037 Zhong Oct 2017 A1
20180040511 Kamineni Feb 2018 A1
20180211875 Basker Jul 2018 A1
Foreign Referenced Citations (6)
Number Date Country
101483184 Jul 2009 CN
102768848 Nov 2012 CN
103199063 Jul 2013 CN
2013-516083 May 2013 JP
10-0630749 Oct 2006 KR
10-2009-0044528 May 2009 KR
Non-Patent Literature Citations (1)
Entry
Korean Application No. 10-2014-0148126, Office Action dated Oct. 22, 2015, 17 pgs.
Related Publications (1)
Number Date Country
20160027692 A1 Jan 2016 US
Divisions (1)
Number Date Country
Parent 14066889 Oct 2013 US
Child 14875535 US