3D semiconductor device, fabrication method and system

Information

  • Patent Grant
  • 10217667
  • Patent Number
    10,217,667
  • Date Filed
    Saturday, February 24, 2018
    6 years ago
  • Date Issued
    Tuesday, February 26, 2019
    5 years ago
Abstract
A 3D memory device, the device including: a first single crystal layer including memory peripheral circuits; a first memory layer including a first junction-less transistor; a second memory layer including a second junction-less transistor; and a third memory layer including a third junction-less transistor, where the first memory layer overlays the first single crystal layer, where the second memory layer overlays the first memory layer, where the third memory layer overlays the second memory layer, where the first junction-less transistor, the second junction-less transistor and the third junction-less transistor are formed by a single lithography and etch process, and where the first memory layer includes a nonvolatile NAND type memory.
Description
BACKGROUND OF THE INVENTION
1. Field of the Invention

The invention relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D-IC) devices


2. Discussion of Background Art

Over the past 40 years, one has seen a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling” i.e. component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today may be that wires dominate performance, functionality and power consumption of ICs.


3D stacking of semiconductor chips may be one avenue to tackle issues with wires. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), one can place transistors in ICs closer to each other. This reduces wire lengths and keeps wiring delay low. However, there are many barriers to practical implementation of 3D stacked chips. These include:

    • Constructing transistors in ICs typically require high temperatures (higher than ˜700° C.) while wiring levels are constructed at low temperatures (lower than ˜400° C.). Copper or Aluminum wiring levels, in fact, can get damaged when exposed to temperatures higher than ˜400° C. If one would like to arrange transistors in 3 dimensions along with wires, it has the challenge described below. For example, let us consider a 2 layer stack of transistors and wires i.e. Bottom Transistor Layer, above it Bottom Wiring Layer, above it Top Transistor Layer and above it Top Wiring Layer. When the Top Transistor Layer may be constructed using Temperatures higher than 700° C., it can damage the Bottom Wiring Layer.
    • Due to the above mentioned problem with forming transistor layers above wiring layers at temperatures lower than 400° C., the semiconductor industry has largely explored alternative architectures for 3D stacking. In these alternative architectures, Bottom Transistor Layers, Bottom Wiring Layers and Contacts to the Top Layer are constructed on one silicon wafer. Top Transistor Layers, Top Wiring Layers and Contacts to the Bottom Layer are constructed on another silicon wafer. These two wafers are bonded to each other and contacts are aligned, bonded and connected to each other as well. Unfortunately, the size of Contacts to the other Layer may be large and the number of these Contacts may be small. In fact, prototypes of 3D stacked chips today utilize as few as 10,000 connections between two layers, compared to billions of connections within a layer. This low connectivity between layers may be because of two reasons: (i) Landing pad size needs to be relatively large due to alignment issues during wafer bonding. These could be due to many reasons, including bowing of wafers to be bonded to each other, thermal expansion differences between the two wafers, and lithographic or placement misalignment. This misalignment between two wafers limits the minimum contact landing pad area for electrical connection between two layers; (ii) The contact size needs to be relatively large. Forming contacts to another stacked wafer typically involves having a Through-Silicon Via (TSV) on a chip. Etching deep holes in silicon with small lateral dimensions and filling them with metal to form TSVs may be not easy. This places a restriction on lateral dimensions of TSVs, which in turn impacts TSV density and contact density to another stacked layer. Therefore, connectivity between two wafers may be limited.


It may be highly desirable to circumvent these issues and build 3D stacked semiconductor chips with a high-density of connections between layers. To achieve this goal, it may be sufficient that one of three requirements must be met: (1) A technology to construct high-performance transistors with processing temperatures below ˜400° C.; (2) A technology where standard transistors are fabricated in a pattern, which allows for high density connectivity despite the misalignment between the two bonded wafers; and (3) A chip architecture where process temperature increase beyond 400° C. for the transistors in the top layer does not degrade the characteristics or reliability of the bottom transistors and wiring appreciably. This patent application describes approaches to address options (1), (2) and (3) in the detailed description section. In the rest of this section, background art that has previously tried to address options (1), (2) and (3) will be described.


U.S. Pat. No. 7,052,941 from Sang-Yun Lee (“S-Y Lee”) describes methods to construct vertical transistors above wiring layers at less than 400° C. In these single crystal Si transistors, current flow in the transistor's channel region may be in the vertical direction. Unfortunately, however, almost all semiconductor devices in the market today (logic, DRAM, flash memory) utilize horizontal (or planar) transistors due to their many advantages, and it may be difficult to convince the industry to move to vertical transistor technology.


A paper from IBM at the Intl. Electron Devices Meeting in 2005 describes a method to construct transistors for the top stacked layer of a 2 chip 3D stack on a separate wafer. This paper is “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, et al. (“Topol”). A process flow may be utilized to transfer this top transistor layer atop the bottom wiring and transistor layers at temperatures less than 400° C. Unfortunately, since transistors are fully formed prior to bonding, this scheme suffers from misalignment issues. While Topol describes techniques to reduce misalignment errors in the above paper, the techniques of Topol still suffer from misalignment errors that limit contact dimensions between two chips in the stack to >130 nm.


The textbook “Integrated Interconnect Technologies for 3D Nanoelectronic Systems” by Bakir and Meindl (“Bakir”) describes a 3D stacked DRAM concept with horizontal (i.e. planar) transistors. Silicon for stacked transistors may be produced using selective epitaxy technology or laser recrystallization. Unfortunately, however, these technologies have higher defect density compared to standard single crystal silicon. This higher defect density degrades transistor performance.


In the NAND flash memory industry, several organizations have attempted to construct 3D stacked memory. These attempts predominantly use transistors constructed with poly-Si or selective epi technology as well as charge-trap concepts. References that describe these attempts to 3D stacked memory include “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”), “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory”, Symp. VLSI Technology Tech. Dig. pp. 14-15, 2007 by H. Tanaka, M. Kido, K. Yahashi, et al. (“Tanaka”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by W. Kim, S. Choi, et al. (“W. Kim”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. (“Lue”) and “Sub-50 nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, pp. 2703-2710, November 2009 by A. J. Walker (“Walker”). An architecture and technology that utilizes single crystal Silicon using epi growth is described in “A Stacked SONOS Technology, Up to 4 Levels and 6 nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (DFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009 by A. Hubert, et al (“Hubert”). However, the approach described by Hubert has some challenges including the use of difficult-to-manufacture nanowire transistors, higher defect densities due to formation of Si and SiGe layers atop each other, high temperature processing for long times, and difficult manufacturing.


It is clear based on the background art mentioned above that invention of novel technologies for 3D stacked chips will be useful.


Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today may be that wires dominate performance, functionality and power consumption of ICs.


3D stacking of semiconductor devices or chips may be one avenue to tackle the issues with wires. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.


There are many techniques to construct 3D stacked integrated circuits or chips including:


Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).


Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).


Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,871,034; and pending U.S. Patent Application Publications and applications; 2017/0117291, 2017/0133395, 2017/0207214, 2017/0221761, 15/173,686, 62/539,054, 62/562,457; and International Applications: PCT/US2010/052093, PCT/US2011/042071, PCT/US2016/52726, PCT/US2017/052359. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.


Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. No. 8,283,215, U.S. Pat. Nos. 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031; and U.S. patent application publication 2016/0064439. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.


Irrespective of the technique used to construct 3D stacked integrated circuits or chips, heat removal may be a serious issue for this technology. For example, when a layer of circuits with power density P may be stacked atop another layer with power density P, the net power density may be 2P. Removing the heat produced due to this power density may be a significant challenge. In addition, many heat producing regions in 3D stacked integrated circuits or chips have a high thermal resistance to the heat sink, and this makes heat removal even more difficult.


Several solutions have been proposed to tackle this issue of heat removal in 3D stacked integrated circuits and chips. These are described in the following paragraphs.


Many publications have suggested passing liquid coolant through multiple device layers of a 3D-IC to remove heat. This is described in “Microchannel Cooled 3D Integrated Systems”, Proc. Intl. Interconnect Technology Conference, 2008 by D. C. Sekar, et al and “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008 by T. Brunschweiler, et al.


Thermal vias have been suggested as techniques to transfer heat from stacked device layers to the heat sink. Use of power and ground vias for thermal conduction in 3D-ICs has also been suggested. These techniques are described in “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), May 2009 by Hao Yu, Joanna Ho and Lei He.


Other techniques to remove heat from 3D Integrated Circuits and Chips will be beneficial.


SUMMARY

In one aspect, a 3D memory device, the device comprising: a first single crystal layer comprising memory peripheral circuits; a first memory layer comprising a first junction-less transistor; a second memory layer comprising a second junction-less transistor; and a third memory layer comprising a third junction-less transistor, wherein said first memory layer overlays said first single crystal layer, wherein said second memory layer overlays said first memory layer, wherein said third memory layer overlays said second memory layer, wherein said first junction-less transistor, said second junction-less transistor and said third junction-less transistor are formed by a single lithography and etch process, and wherein said first memory layer comprises a nonvolatile NAND type memory.


In another aspect, a method for fabrication of a 3D memory device, the method comprising: fabricating memory peripheral circuits; then overlaying said memory peripheral circuits with a multilayer structure; and then processing said multilayer structure forming a first memory layer comprising a first junction-less transistor, a second memory layer comprising a second junction-less transistor, and a third memory layer comprising a third junction-less transistor, wherein said first junction-less transistor, said second junction-less transistor and said third junction-less transistor are self-aligned being formed by a single lithography and etch process, and wherein said first memory layer comprises a nonvolatile NAND type memory.


In another aspect, a mobile electronic system, the system comprising: a 3D memory device, said 3D memory device comprising: a first single crystal layer comprising memory peripheral circuits; a first memory layer comprising a first junction-less transistor; a second memory layer comprising a second junction-less transistor; and a third memory layer comprising a third junction-less transistor, wherein said first memory layer overlays said first single crystal layer, wherein said second memory layer overlays said first memory layer, wherein said third memory layer overlays said second memory layer, wherein said first junction-less transistor, said second junction-less transistor and said third junction-less transistor are self-aligned being formed by a single lithography and etch process, and wherein said first memory layer comprises a nonvolatile NAND type memory.





BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:



FIGS. 1A-1E are example drawing illustrations of a layer transfer flow using ion-cut in which a top layer of doped Si may be layer transferred atop a generic bottom layer;



FIGS. 2A-2K are example drawing illustrations of a zero-mask per layer 3D floating body DRAM;



FIGS. 3A-3J are example drawing illustrations of a zero-mask per layer 3D resistive memory with a junction-less transistor;



FIGS. 4A-4G are example drawing illustrations of a zero-mask per layer 3D charge-trap memory;



FIGS. 5A-5B are example drawing illustrations of periphery below and on top of memory layers; and



FIGS. 6A-6F are example drawing illustrations of a technique to construct sub-400° C. 3D stacked transistors by reducing temperatures needed for Source and drain anneals.



FIG. 7A is an exemplary drawing illustration of an underlying I/O;



FIG. 7B is an exemplary drawing illustration of side “cut”; and



FIG. 7C is an exemplary drawing illustration of a 3D IC system.





DETAILED DESCRIPTION

Embodiments of the invention are now described with reference to the figures, it being appreciated that the figures illustrate the subject matter not to scale or to measure. Many figures describe process flows for building devices. These process flows, which are essentially a sequence of steps for building a device, have many structures, numerals and labels that are common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in previous steps' figures.


Embodiments of the invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the spirit of the appended claims.


This section of the document describes a technology to construct single-crystal silicon transistors atop wiring layers with less than 400° C. processing temperatures. This allows construction of 3D stacked semiconductor chips with high density of connections between different layers, because the top-level transistors are formed well-aligned to bottom-level wiring and transistor layers. Since the top-level transistor layers are very thin (preferably less than about 200 nm), alignment can be done through these thin silicon and oxide layers to features in the bottom-level.



FIGS. 1A-1E illustrates an ion-cut flow for layer transferring a single crystal silicon layer atop any generic bottom layer 102. The bottom layer 102 can be a single crystal silicon layer. Alternatively, it can be a wafer having transistors with wiring layers above it. This process of ion-cut based layer transfer may include several steps, as described in the following sequence:


Step (A): A silicon dioxide layer 104 may be deposited above the generic bottom layer 102. FIG. 1A illustrates the structure after Step (A) is completed.


Step (B): The top layer of doped or undoped silicon 106 to be transferred atop the bottom layer may be processed and an oxide layer 108 may be deposited or grown above it. FIG. 1B illustrates the structure after Step (B) is completed.


Step (C): Hydrogen may be implanted into the top layer silicon 106 with the peak at a certain depth to create the hydrogen plane 110. Alternatively, another atomic species such as helium or boron can be implanted or co-implanted. FIG. 1C illustrates the structure after Step (C) is completed.


Step (D): The top layer wafer shown after Step (C) may be flipped and bonded atop the bottom layer wafer using oxide-to-oxide bonding. FIG. 1D illustrates the structure after Step (D) is completed.


Step (E): A cleave operation may be performed at the hydrogen plane 110 using an anneal. Alternatively, a sideways mechanical force may be used. Further details of this cleave process are described in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (1003) by G. K. Celler and S. Cristoloveanu (“Celler”) and “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 1370-1372, 1000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”). Following this, a Chemical-Mechanical-Polish (CMP) may be done. FIG. 1E illustrates the structure after Step (E) is completed.


One method to solve the issue of high-temperature source-drain junction processing may be to make transistors without junctions i.e. Junction-Less Transistors (JLTs). An embodiment of this invention uses JLTs as a building block for 3D stacked semiconductor circuits and chips.


Further details of the JLT can be found in “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, pp. 053511 2009 by C.-W. Lee, A. Afzalian, N. Dehdashti Akhavan, R. Yan, I. Ferain and J. P. Colinge (“C-W. Lee”). Contents of this publication are incorporated herein by reference.


Many of the types of embodiments of this invention described herein utilize single crystal silicon or mono-crystalline silicon transistors. These terms may be used interchangeably. Thicknesses of layer transferred regions of silicon are <2 um, and many times can be <1 um or <0.4 um or even <0.2 um. Interconnect (wiring) layers are preferably constructed substantially of copper or aluminum or some other high conductivity material.


While ion-cut has been described in previous sections as the method for layer transfer, several other procedures exist that fulfill the same objective. These include:


Lift-off or laser lift-off: Background information for this technology is given in “Epitaxial lift-off and its applications”, 1993 Semicond. Sci. Technol. 8 1124 by P Demeester et al. (“Demeester”).


Porous-Si approaches such as ELTRAN: Background information for this technology is given in “Eltran, Novel SOI Wafer Technology”, JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”) and also in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978, 2003 by G. K. Celler and S. Cristoloveanu (“Celler”).


Time-controlled etch-back to thin an initial substrate, Polishing, Etch-stop layer controlled etch-back to thin an initial substrate: Background information on these technologies is given in Celler and in U.S. Pat. No. 6,806,171.


Rubber-stamp based layer transfer: Background information on this technology is given in “Solar cells sliced and diced”, 19 May 2010, Nature News.


The above publications giving background information on various layer transfer procedures are incorporated herein by reference. It is obvious to one skilled in the art that one can form 3D integrated circuits and chips as described in this document with layer transfer schemes described in these publications.


This Section describes novel monolithic 3D Dynamic Random Access Memories (DRAMs). Some embodiments of this invention may involve floating body DRAM. Background information on floating body DRAM and its operation is given in “Floating Body RAM Technology and its Scalability to 32 nm Node and Beyond,” Electron Devices Meeting, 2006. IEDM '06. International, vol., no., pp. 1-4, 11-13 Dec. 2006 by T. Shino, N. Kusunoki, T. Higashi, et al., Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond, Solid-State Electronics, Volume 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC′08, July 2009, Pages 676-683, ISSN 0038-1101, DOI: 10.1016/j.sse.2009.03.010 by Takeshi Hamamoto, Takashi Ohsawa, et al., “New Generation of Z-RAM,” Electron Devices Meeting, 2007. IEDM 2007. IEEE International, vol., no., pp. 925-928, 10-12 Dec. 2007 by Okhonin, S.; Nagoga, M.; Carman, E, et al. The above publications are incorporated herein by reference.



FIG. 2A-K describe an alternative process flow to construct a horizontally-oriented monolithic 3D DRAM. This monolithic 3D DRAM utilizes the floating body effect and double-gate transistors. No mask may be utilized on a “per-memory-layer” basis for the monolithic 3D DRAM concept shown in FIG. 2A-K, and all other masks are shared between different layers. The process flow may include several steps in the following sequence.


Step (A): Peripheral circuits with tungsten wiring 202 are first constructed and above this oxide layer 204 may be deposited. FIG. 2A shows a drawing illustration after Step (A).


Step (B): FIG. 2B illustrates the structure after Step (B). A p− Silicon wafer 208 has an oxide layer 206 grown or deposited above it. A doped and activated layer may be formed in or on p− silicon wafer 208 by processes such as, for example, implant and RTA or furnace activation, or epitaxial deposition and activation. Following this, hydrogen may be implanted into the p− Silicon wafer at a certain depth indicated by 214. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p− Silicon wafer 208 forms the top layer 210. The bottom layer 212 may include the peripheral circuits 202 with oxide layer 204. The top layer 210 may be flipped and bonded to the bottom layer 212 using oxide-to-oxide bonding.


Step (C): FIG. 2C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) may be cleaved at the hydrogen plane 214 using either a anneal or a sideways mechanical force or other means. A CMP process may be then conducted. A layer of silicon oxide 218 may be then deposited atop the p− Silicon layer 216. At the end of this step, a single-crystal p− Silicon layer 216 exists atop the peripheral circuits, and this has been achieved using layer transfer techniques.


Step (D): FIG. 2D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple p− silicon layers 220 are formed with silicon oxide layers in between.


Step (E): FIG. 2E illustrates the structure after Step (E). Lithography and etch processes may then be utilized to make a structure as shown in the figure, including p− silicon layer regions 221 and silicon oxide layer regions 222.


Step (F): FIG. 2F illustrates the structure after Step (F). Gate dielectric 226 and gate electrode 224 are then deposited following which a CMP may be done to planarize the gate electrode 224 regions. Lithography and etch are utilized to define gate regions.


Step (G): FIG. 2G illustrates the structure after Step (G). Using the hard mask defined in Step (F), p− regions not covered by the gate are implanted to form n+ regions 228. Spacers are utilized during this multi-step implantation process and layers of silicon present in different layers of the stack have different spacer widths to account for lateral straggle of buried layer implants. Bottom layers could have larger spacer widths than top layers. A thermal annealing step, such as a RTA or spike anneal or laser anneal or flash anneal, may be then conducted to activate n+ doped regions.


Step (H): FIG. 2H illustrates the structure after Step (H). A silicon oxide layer 230 may be then deposited and planarized. For clarity, the silicon oxide layer may be shown transparent, along withword-line (WL) 232 and source-line (SL) 234 regions.


Step (I): FIG. 2I illustrates the structure after Step (I). Bit-line (BL) contacts 236 are formed by etching and deposition. These BL contacts are shared among all layers of memory.


Step (J): FIG. 2J illustrates the structure after Step (J). BLs 238 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be done in steps prior to Step (J) as well.

FIG. 2K shows cross-sectional views of the array for clarity. Double-gated transistors may be utilized along with the floating body effect for storing information.


A floating body DRAM has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers, and (4) mono-crystalline (or single crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While many of today's memory technologies rely on charge storage, several companies are developing non-volatile memory technologies based on resistance of a material changing. Examples of these resistance-based memories include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, conductive bridge RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.



FIGS. 3A-3J describe a novel memory architecture for resistance-based memories, and a procedure for its construction. The memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask may be utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIGS. 3A-3J, and all other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.


Step (A): Peripheral circuits 302 are first constructed and above this oxide layer 304 may be deposited. FIG. 3A shows a drawing illustration after Step (A).


Step (B): FIG. 3B illustrates the structure after Step (B). N+ Silicon wafer 308 has an oxide layer 306 grown or deposited above it. A doped and activated layer may be formed in or on N+ silicon wafer 308 by processes such as, for example, implant and RTA or furnace activation, or epitaxial deposition and activation. Following this, hydrogen may be implanted into the n+ Silicon wafer at a certain depth indicated by 314. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted n+ Silicon wafer 308 forms the top layer 310. The bottom layer 312 may include the peripheral circuits 302 with oxide layer 304. The top layer 310 may be flipped and bonded to the bottom layer 312 using oxide-to-oxide bonding.


Step (C): FIG. 3C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) may be cleaved at the hydrogen plane 314 using either a anneal or a sideways mechanical force or other means. A CMP process may be then conducted. A layer of silicon oxide 318 may be then deposited atop the n+ Silicon layer 316. At the end of this step, a single-crystal n+ Si layer 316 exists atop the peripheral circuits, and this has been achieved using layer transfer techniques.


Step (D): FIG. 3D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 320 are formed with silicon oxide layers in between.


Step (E): FIG. 3E illustrates the structure after Step (E). Lithography and etch processes may then be utilized to make a structure as shown in the figure, including n+ silicon layer regions 321 and silicon oxide layer regions 322.


Step (F): FIG. 3F illustrates the structure after Step (F). Gate dielectric 326 and gate electrode 324 are then deposited following which a CMP may be performed to planarize the gate electrode 324 regions. Lithography and etch are utilized to define gate regions.


Step (G): FIG. 3G illustrates the structure after Step (G). A silicon oxide layer 330 may be then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 332 and source-line (SL) 334 regions.


Step (H): FIG. 3H illustrates the structure after Step (H). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 336 may be then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, well known to change resistance by applying voltage. An electrode for the resistance change memory element may be then deposited (preferably using ALD) and is shown as electrode/BL contact 340. A CMP process may be then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with junction-less transistors are created after this step.


Step (I): FIG. 3I illustrates the structure after Step (I). BLs 338 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be achieved in steps prior to Step (I) as well.

FIG. 3J shows cross-sectional views of the array for clarity.


A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates that are simultaneously deposited over multiple memory layers for transistors, and (4) mono-crystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While explanations have been given for formation of monolithic 3D resistive memories with ion-cut in this section, it is clear to one skilled in the art that alternative implementations are possible. BL and SL nomenclature has been used for two terminals of the 3D resistive memory array, and this nomenclature can be interchanged. Moreover, selective epi technology or laser recrystallization technology could be utilized for implementing structures shown in FIG. 3A-J. Various other types of layer transfer schemes that have been described herein can be utilized for construction of various 3D resistive memory structures. One could also use buried wiring, i.e. where wiring for memory arrays may be below the memory layers but above the periphery. Other variations of the monolithic 3D resistive memory concepts are possible.


While resistive memories described previously form a class of non-volatile memory, others classes of non-volatile memory exist. NAND flash memory forms one of the most common non-volatile memory types. It can be constructed of two main types of devices: floating-gate devices where charge is stored in a floating gate and charge-trap devices where charge is stored in a charge-trap layer such as Silicon Nitride. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”) and “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. The architectures shown in FIGS. 4A-G are relevant for any type of charge-trap memory.



FIG. 4A-G describes a memory architecture for single-crystal 3D charge-trap memories, and a procedure for its construction. It utilizes junction-less transistors. No mask may be utilized on a “per-memory-layer” basis for the monolithic 3D charge-trap memory concept shown in FIG. 4A-G, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.


Step (A): Peripheral circuits 402 are first constructed and above this oxide layer 404 may be deposited. FIG. 4A shows a drawing illustration after Step (A).


Step (B): FIG. 4B illustrates the structure after Step (B). A wafer of n+ Silicon 408 has an oxide layer 406 grown or deposited above it. A doped and activated layer may be formed in or on n+ silicon wafer 408 by processes such as, for example, implant and RTA or furnace activation, or epitaxial deposition and activation. Following this, hydrogen may be implanted into the n+ Silicon wafer at a certain depth indicated by 414. Alternatively, some other atomic species such as Helium could be implanted. This hydrogen implanted n+Silicon wafer 408 forms the top layer 410. The bottom layer 412 may include the peripheral circuits 402 with oxide layer 404. The top layer 410 may be flipped and bonded to the bottom layer 412 using oxide-to-oxide bonding. Alternatively, n+ silicon wafer 408 may be doped differently, such as, for example, with elemental species that form a p+, or p−, or n− silicon wafer, or substantially absent of semiconductor dopants to form an undoped silicon wafer.


Step (C): FIG. 4C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) may be cleaved at the hydrogen plane 414 using either a anneal or a sideways mechanical force or other means. A CMP process may be then conducted. A layer of silicon oxide 418 may be then deposited atop the n+ Silicon layer 416. At the end of this step, a single-crystal n+ Si layer 416 exists atop the peripheral circuits, and this has been achieved using layer transfer techniques.


Step (D): FIG. 4D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 420 are formed with silicon oxide layers in between.


Step (E): FIG. 4E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.


Step (F): FIG. 4F illustrates the structure after Step (F). Gate dielectric 426 and gate electrode 424 are then deposited following which a CMP may be done to planarize the gate electrode 424 regions. Lithography and etch are utilized to define gate regions. Gates of the NAND string 436 as well gates of select gates of the NAND string 438 are defined.


Step (G): FIG. 4G illustrates the structure after Step (G). A silicon oxide layer 430 may be then deposited and planarized. It is shown transparent in the figure for clarity. Word-lines, bit-lines and source-lines are defined as shown in the figure. Contacts are formed to various regions/wires at the edges of the array as well. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be performed in steps prior to Step (G) as well.


A 3D charge-trap memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., bit lines BL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) mono-crystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut. This use of single-crystal silicon obtained with ion-cut is a key differentiator from past work on 3D charge-trap memories such as “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. that used polysilicon.


While FIG. 36A-F and FIG. 37A-G give two examples of how single-crystal silicon layers with ion-cut can be used to produce 3D charge-trap memories, the ion-cut technique for 3D charge-trap memory may be fairly general. It could be utilized to produce any horizontally-oriented 3D mono-crystalline silicon charge-trap memory. FIG. 38A-D further illustrates how general the process can be. One or more doped silicon layers 3802, including oxide layer 3804, can be layer transferred atop any peripheral circuit layer 3806 using procedures shown in FIG. 2. These are indicated in FIG. 38A, FIG. 38B and FIG. 38C. Following this, different procedures can be utilized to form different types of 3D charge-trap memories. For example, procedures shown in “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. and “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology, 2009 by W. Kim, S. Choi, et al. can be used to produce the two different types of horizontally oriented single crystal silicon 3D charge trap memory shown in FIG. 38D.


While the 3D DRAM and 3D resistive memory implementations herein have been described with single crystal silicon constructed with ion-cut technology, other options exist. One could construct them with selective epi technology. Procedures for doing these will be clear to those skilled in the art.



FIG. 5A-B may not be the only option for the architecture, as depicted in, for example, FIG. 1 through FIG. 4. Peripheral transistors within periphery layer 502 may be constructed below the memory layers, for example, memory layer 1504, memory layer 2506, and/or memory layer 3508. Peripheral transistors within periphery layer 510 could also be constructed above the memory layers, for example, memory layer 1504, memory layer 2506, and/or memory layer 3508, which may be atop substrate or memory layer 4512, as shown in FIG. 5B.


The double gate devices shown in FIG. 1 through FIG. 4 have both gates connected to each other. Each gate terminal may be controlled independently, which may lead to design advantages for memory chips.


One of the concerns with using n+ Silicon as a control line for 3D memory arrays may be its high resistance. Using lithography and (single-step or multi-step) ion-implantation, one could dope heavily the n+ silicon control lines while not doping transistor gates, sources and drains in the 3D memory array. This preferential doping may mitigate the concern of high resistance.


Activating dopants in standard CMOS transistors at less than about 400° C.-450° C. may be a serious challenge. Due to this, forming 3D stacked circuits and chips may be challenging, unless techniques to activate dopants of source-drain regions at less than about 400° C.-450° C. can be obtained. For some compound semiconductors, dopants can be activated at less than about 400° C. An embodiment of this invention involves using such compound semiconductors, such as antimonides (eg. InGaSb), for constructing 3D integrated circuits and chips.


The process flow shown in FIG. 6A-F describes an embodiment of this invention, where techniques may be used that may lower activation temperature for dopants in silicon to less than about 450° C., and potentially even lower than about 400° C. The process flow could include the following steps that occur in sequence from Step (A) to Step (F). When the same reference numbers are used in different drawing figures (among FIG. 6A-F), they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.


Step (A) is illustrated using FIG. 6A. A p− Silicon wafer 652 with activated dopants may have an oxide layer 608 deposited atop it. Hydrogen could be implanted into the wafer at a certain depth to form hydrogen plane 650 indicated by a dotted line. Alternatively, helium could be used.


Step (B) is illustrated using FIG. 6B. A wafer with transistors and wires may have an oxide layer 602 deposited atop it to form the structure 612. The structure shown in FIG. 6A could be flipped and bonded to the structure 612 using oxide-to-oxide bonding of layers 602 and 608.


Step (C) is illustrated using FIG. 6C. The structure shown in FIG. 6B could be cleaved at its hydrogen plane 650 using a mechanical force, thus forming p− layer 610. Alternatively, an anneal could be used. Following this, a CMP could be conducted to planarize the surface.


Step (D) is illustrated using FIG. 6D. Isolation regions (not shown) between transistors can be formed using a shallow trench isolation (STI) process. Following this, a gate dielectric 618 and a gate electrode 616 could be formed using deposition or growth, followed by a patterning and etch.


Step (E) is illustrated using FIG. 6E, and involves forming and activating source-drain regions. One or more of the following processes can be used for this step.


(i) A hydrogen plasma treatment can be conducted, following which dopants for source and drain regions 620 can be implanted. Following the implantation, an activation anneal can be performed using a rapid thermal anneal (RTA). Alternatively, a laser anneal could be used. Alternatively, a spike anneal could be used. Alternatively, a furnace anneal could be used. Hydrogen plasma treatment before source-drain dopant implantation is known to reduce temperatures for source-drain activation to be less than about 450° C. or even less than about 400° C. Further details of this process for forming and activating source-drain regions are described in “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, Spring 2005 by A. Vengurlekar, S. Ashok, Christine E. Kalnas, Win Ye. This embodiment of the invention advantageously uses this low-temperature source-drain formation technique and layer transfer techniques and produces 3D integrated circuits and chips.


(ii) Alternatively, another process can be used for forming activated source-drain regions. Dopants for source and drain regions 620 can be implanted, following which a hydrogen implantation can be conducted. Alternatively, some other atomic species can be used. An activation anneal can then be conducted using a RTA. Alternatively, a furnace anneal or spike anneal or laser anneal can be used. Hydrogen implantation is known to reduce temperatures required for the activation anneal. Further details of this process are described in U.S. Pat. No. 4,522,657. This embodiment of the invention advantageously uses this low-temperature source-drain formation technique and layer transfer techniques and produces 3D integrated circuits and chips. While (i) and (ii) described two techniques of using hydrogen to lower anneal temperature requirements, various other methods of incorporating hydrogen to lower anneal temperatures could be used.


(iii) Alternatively, another process can be used for forming activated source-drain regions. The wafer could be heated up when implantation for source and drain regions 620 is carried out. Due to this, the energetic implanted species is subjected to higher temperatures and can be activated at the same time as it is implanted. Further details of this process can be seen in U.S. Pat. No. 6,111,260. This embodiment of the invention advantageously uses this low-temperature source-drain formation technique and layer transfer techniques and produces 3D integrated circuits and chips.


(iv) Alternatively, another process could be used for forming activated source-drain regions. Dopant segregation techniques (DST) may be utilized to efficiently modulate the source and drain Schottky barrier height for both p and n type junctions. These DSTs may utilized form a dopant segregated Schottky (DSS-Schottky) transistor. Metal or metals, such as platinum and nickel, may be deposited, and a silicide, such as Ni0.9Pt0.1Si, may formed by thermal treatment or an optical treatment, such as a laser anneal, following which dopants for source and drain regions 620 may be implanted, such as arsenic and boron, and the dopant pile-up is initiated by a low temperature post-silicidation activation step, such as a thermal treatment or an optical treatment, such as a laser anneal. An alternate DST is as follows: Metal or metals, such as platinum and nickel, may be deposited, following which dopants for source and drain regions 620 may be implanted, such as arsenic and boron, followed by dopant segregation induced by the silicidation thermal budget wherein a silicide, such as Ni0.9Pt0.1Si, may formed by thermal treatment or an optical treatment, such as a laser anneal. Alternatively, dopants for source and drain regions 620 may be implanted, such as arsenic and boron, following which metal or metals, such as platinum and nickel, may be deposited, and a silicide, such as Ni0.9Pt0.1Si, may formed by thermal treatment or an optical treatment, such as a laser anneal. Further details of these processes for forming dopant segregated source-drain regions are described in “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp 147-150, by G. Larrieu, et al.; “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, no. 1, January 2008, pp. 396-403, by Z. Qiu, et al.; and “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, no. 4, April 2010, pp. 275-277, by M. H. Khater, et al. This embodiment of the invention advantageously uses this low-temperature source-drain formation technique and layer transfer techniques and produces 3D integrated circuits and chips.


Step (F) is illustrated using FIG. 6F. An oxide layer 622 may be deposited and polished with CMP. Following this, contacts, multiple levels of metal and other structures can be formed to obtain a 3D integrated circuit or chip. If desired, the original materials for the gate electrode 616 and gate dielectric 618 can be removed and replaced with a deposited gate dielectric and deposited gate electrode using a replacement gate process similar to the one described previously.


Persons of ordinary skill in the art will appreciate that the low temperature source-drain formation techniques described in FIG. 6, such as dopant segregation and DSS-Schottky transistors, may also be utilized to form other 3D structures in this document and in incorporated reference U.S. Pat. No. 8,642,416, including, but not limited to, floating body DRAM, such as described in FIGS. 29,30,31,71, (of incorporated reference U.S. Pat. No. 8,642,416) and junction-less transistors, such as described in FIGS. 5,6,7,8,9,60, (of incorporated reference U.S. Pat. No. 8,642,416) and RCATs, such as described in FIGS. 10, 12, 13, (of incorporated reference U.S. Pat. No. 8,642,416) and CMOS MOSFETS, such as described in FIGS. 25, 47, 49, (of incorporated reference U.S. Pat. No. 8,642,416) and resistive memory, such as described in FIGS. 32, 33, 34, 35, (of incorporated reference U.S. Pat. No. 8,642,416) and charge trap memory, such as described in FIGS. 36, 37, 38, (of incorporated reference U.S. Pat. No. 8,642,416) and floating gate memory, such as described in FIGS. 39, 40, 70, (of incorporated reference U.S. Pat. No. 8,642,416) and SRAM, such as described in FIG. 52 (of incorporated reference U.S. Pat. No. 8,642,416), and Finfets, such as described in FIG. 61 (of incorporated reference U.S. Pat. No. 8,642,416). Thus the invention is to be limited only by the appended claims.



FIG. 7A is a drawing illustration of an underlying I/O. The foundation wafer could also be preprocessed to carry the I/O circuits or part of it, such as the relatively large transistors of the output drive 1912. Additionally TSV in the foundation could be used to bring the I/O connection 1914 all the way to the back side of the foundation. FIG. 7B is a drawing illustration of a side “cut” of an integrated device according to an embodiment of the present invention. The Output Driver may be illustrated by PMOS and NMOS output transistors 19B06 coupled through TSV 19B10 to connect to a backside pad or pad bump 19B08. The connection material used in the foundation wafer 1402 can be selected to withstand the temperature of the following process constructing the full device on transferred silicon layer 1404 as illustrated in FIG. 8A (of incorporated by reference U.S. Pat. No. 8,273,610)—802, 804, 806, 807, 810, 812, such as tungsten. The foundation could also carry the input protection circuit 1916 connecting the pad or pad bump 19B08 to the primary silicon circuitry, such as input logic 1920, in the primary circuits or buffer 1922.


An additional embodiment may use TSVs in the foundation such as TSV 19B10 to connect between wafers to form 3D Integrated Systems. In general each TSV may take a relatively large area, typically a few square microns. When the need is for many TSVs, the overall cost of the area for these TSVs might be high if the use of that area for high density transistors is substantially precluded. Pre-processing these TSVs on the donor wafer on a relatively older process line may significantly reduce the effective costs of the 3D TSV connections. The connection 1924 to the primary silicon circuitry, such as input logic 1920, could be then made at the minimum contact size of few tens of square nanometers, which may be two orders of magnitude lower than the few square microns needed by the TSVs. Those of ordinary skill in the art will appreciate that FIG. 7B is for illustration only and is not drawn to scale. Such skilled persons will understand there are many alternative embodiments and component arrangements that could be constructed using the inventive principles shown and that FIG. 7B is not limiting in any way.



FIG. 7C demonstrates a 3D system including three dice 19C10, 19C20 and 19C30 coupled together with TSVs 19C12, 19C22 and 19C32 similar to TSV 19B10 as described in association with FIG. 7A. The stack of three dice may utilize TSV in the Foundations 19C12, 19C22, and 19C32 for the 3D interconnect which may allow for minimum effect or silicon area loss of the Primary silicon 19C14, 19C24 and 19C34 connected to their respective Foundations with minimum size via connections. The three die stacks may be connected to a PC Board using bumps 19C40 connected to the bottom die TSVs 19C32. Those of ordinary skill in the art will appreciate that FIG. 7C is for illustration only and is not drawn to scale. Such skilled persons will understand there are many alternative embodiments and component arrangements that could be constructed using the inventive principles shown and that FIG. 7C is not limiting in any way. For example, a die stack could be placed in a package using flip chip bonding or the bumps 19C40 could be replaced with bond pads and the part flipped over and bonded in a conventional package with bond wires.


While concepts in this patent application have been described with respect to 3D-ICs with two stacked device layers, those of ordinary skill in the art will appreciate that it can be valid for 3D-ICs with more than two stacked device layers.


Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. These device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as mobile phones, smart phone, cameras and the like. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within these mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology. The 3D IC techniques and the methods to build devices according to various embodiments of the invention could empower the mobile smart system to win in the market place, as they provide unique advantages for aspects that are very important for ‘smart’ mobile devices, such as, low size and volume, low power, versatile technologies and feature integration, low cost, self-repair, high memory density, high performance. These advantages would not be achieved without the use of some embodiment of the invention.


3D ICs according to some embodiments of the invention could also enable electronic and semiconductor devices with much a higher performance due to the shorter interconnect as well as semiconductor devices with far more complexity via multiple levels of logic and providing the ability to repair or use redundancy. The achievable complexity of the semiconductor devices according to some embodiments of the invention could far exceed what was practical with the prior art technology. These advantages could lead to more powerful computer systems and improved systems that have embedded computers.


Some embodiments of the invention may also enable the design of state of the art electronic systems at a greatly reduced non-recurring engineering (NRE) cost by the use of high density 3D FPGAs or various forms of 3D array base ICs with reduced custom masks as been described previously.


These systems could be deployed in many products and in many market segments. Reduction of the NRE may enable new product family or application development and deployment early in the product lifecycle by lowering the risk of upfront investment prior to a market being developed. The above advantages may also be provided by various mixes such as reduced NRE using generic masks for layers of logic and other generic mask for layers of memories and building a very complex system using the repair technology to overcome the inherent yield limitation. Another form of mix could be building a 3D FPGA and add on it 3D layers of customizable logic and memory so the end system could have field programmable logic on top of the factory customized logic. In fact there are many ways to mix the many innovative elements to form 3D IC to support the need of an end system, including using multiple devices wherein more than one device incorporates elements of the invention. An end system could benefits from memory device utilizing the invention 3D memory together with high performance 3D FPGA together with high density 3D logic and so forth. Using devices that use one or multiple elements of the invention would allow for better performance and or lower power and other advantages resulting from the inventions to provide the end system with a competitive edge. Such end system could be electronic based products or other type of systems that include some level of embedded electronics, such as, for example, cars, remote controlled vehicles, etc.


It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims
  • 1. A method for fabrication of a 3D semiconductor device, the method comprising: providing a substrate comprising a single crystal layer;forming a plurality of first transistors in and on said single crystal layer; thenforming at least one metal layer, said at least one metal layer comprising connections between said first transistors, wherein a portion of said at least one metal layer comprising said connections between said first transistors form memory peripheral circuits, said peripheral circuits comprise decoder circuits; thenforming a stack of at least sixteen layers, wherein said stack of at least sixteen layers comprises odd numbered layers and even numbered layers,wherein said odd numbered layers comprise a first material and said even numbered layers comprise a second material,wherein said first material is of a different composition than said second material, andwherein said forming said stack is performed as part of forming a multilevel memory structure; and thenprocessing said stack of at least sixteen layers forming at least eight layers of memory cells, wherein said at least eight layers of memory cells are controlled by said periphery circuits,wherein at least said forming said stack of at least sixteen layers and said processing said stack of at least sixteen layers comprise forming a staircase structure,wherein said staircase structure comprises a portion of connection paths from said peripheral circuits to at least one of said memory cells,wherein at least one of said memory cells overlays said peripheral circuits,wherein each of said memory cells comprises at least one second transistor, said second transistor comprises a source, channel, and drain, andwherein said source, said channel, and said drain comprise similar doping.
  • 2. The method according to claim 1, wherein said processing said stack of at least sixteen layers comprises annealing of said first transistors.
  • 3. The method according to claim 1, wherein said processing said stack of at least sixteen layers comprises forming metal layers as part of forming connections between said peripheral circuits and said memory cells.
  • 4. The method according to claim 1, wherein said memory cells are part of a non-volatile NAND memory.
  • 5. The method according to claim 1, wherein said memory cells are arranged as memory arrays, andwherein transport of control signals to said memory cells and said memory arrays comprise a plurality of word-lines and a plurality of bit-lines.
  • 6. The method according to claim 1, wherein said processing said stack of at least sixteen layers comprises Atomic Layer Deposition (ALD).
  • 7. The method according to claim 1, further comprising: a first set of external connections underlying said single crystal layer to connect said device to a first external device; anda second set of external connections overlying said at least eight layers of memory cells to connect said device to a second external device, wherein said first set of external connections comprises a through silicon via (TSV).
  • 8. A method for fabrication of a 3D semiconductor device, the method comprising: providing a substrate comprising a single crystal layer;forming a plurality of first transistors in and on said single crystal layer; thenforming at least one metal layer, said at least one metal layer comprising connections between said first transistors, wherein a portion of said at least one metal layer comprising said connections between said first transistors form memory peripheral circuits, said peripheral circuits comprise decoder circuits; thenforming a stack of at least sixteen layers, wherein said stack of at least sixteen layers comprises odd numbered layers and even numbered layers,wherein said odd numbered layers comprise a first material and said even numbered layers comprise a second material,wherein said first material is of a different composition than said second material, andwherein said forming said stack is performed as part of forming a multilevel memory structure; and thenprocessing said stack of at least sixteen layers forming at least eight layers of memory cells, wherein said at least eight layers of memory cells are controlled by said periphery circuits,wherein at least said forming said stack of at least sixteen layers and said processing said stack of at least sixteen layers comprise forming a staircase structure,wherein said staircase structure comprises a portion of connection paths from said peripheral circuits to at least one of said memory cells,wherein at least one of said memory cells overlays said peripheral circuits.
  • 9. The method according to claim 8, wherein said processing said stack of at least sixteen layers comprises annealing of said first transistors.
  • 10. The method according to claim 8, wherein each of said memory cells comprises at least one second transistor, said second transistor comprises a source, channel, and drain, andwherein said source, said channel, and said drain comprise similar doping.
  • 11. The method according to claim 8, wherein said processing said stack of at least sixteen layers comprises forming metal layers as part of forming connections between said periphery circuits and said memory cells.
  • 12. The method according to claim 8, wherein said memory cells are part of a non-volatile NAND memory.
  • 13. The method according to claim 8, wherein said processing said stack of at least sixteen layers comprises use of Atomic Layer Deposition (ALD).
  • 14. The method according to claim 8, further comprising: forming a first set of external connections underlying said single crystal layer to connect said device to a first external device; andforming a second set of external connections overlying said at least eight layers of memory cells to connect said device to a second external device, wherein said first set of external connections comprises a through silicon via (TSV).
  • 15. A method for fabrication of a 3D semiconductor device, the method comprising: providing a substrate comprising a single crystal layer;forming a plurality of first transistors in and on said single crystal layer; thenforming at least one metal layer, said at least one metal layer comprising connections between said first transistors, wherein a portion of said at least one metal layer comprising said connections between said first transistors form memory peripheral circuits; thenforming a stack of at least sixteen layers, wherein said stack of at least sixteen layers comprises odd numbered layers and even numbered layers,wherein said odd numbered layers comprise a first material and said even numbered layers comprise a second material,wherein said first material is of a different composition than said second material, andwherein said forming said stack is performed as part of forming a multilevel memory structure; and thenprocessing said stack of at least sixteen layers forming at least eight layers of memory cells, wherein said at least eight layers of memory cells are controlled by said periphery circuits.
  • 16. The method according to claim 15, wherein at least said forming said stack of at least sixteen layers and said processing said stack of at least sixteen layers comprise forming a staircase structure,wherein said staircase structure comprises a portion of connection paths from said peripheral circuits to at least one of said memory cells.
  • 17. The method according to claim 15, wherein said processing said stack of at least sixteen layers comprises annealing of said first transistors.
  • 18. The method according to claim 15, wherein each of said memory cells comprises at least one second transistor, said second transistor comprises a source, channel, and drain, andwherein said source, said channel, and said drain comprise similar doping.
  • 19. The method according to claim 15, wherein said processing said stack of at least sixteen layers comprises forming metal layers as part of forming connections between said periphery circuits and said memory cells.
  • 20. The method according to claim 15, wherein said memory cells are part of a non-volatile NAND memory.
Parent Case Info

This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 15/488,514, filed on Apr. 16, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 14/975,830, filed on Dec. 20, 2015, which is a continuation-in-part of U.S. patent application Ser. No. 13/623,756, filed on Sep. 20, 2012, now U.S. Pat. No. 9,219,005 issued on Dec. 22, 2015, which is a continuation of U.S. patent application Ser. No. 13/635,436, filed on Sep. 16, 2012, now U.S. Pat. No. 8,642,416 issued on Feb. 4, 2014, which is a national stage application into the USPTO of PCT/US2011/042071 of international filing date Jun. 28, 2011. The contents of the foregoing applications are incorporated herein by reference.

US Referenced Citations (856)
Number Name Date Kind
3007090 Rutz Oct 1961 A
3819959 Chang et al. Jun 1974 A
4009483 Clark Feb 1977 A
4197555 Uehara et al. Apr 1980 A
4213139 Rao et al. Jul 1980 A
4400715 Barbee et al. Aug 1983 A
4487635 Kugimiya et al. Dec 1984 A
4510670 Schwabe Apr 1985 A
4522657 Rohatgi et al. Jun 1985 A
4612083 Yasumoto Sep 1986 A
4643950 Ogura et al. Feb 1987 A
4704785 Curran Nov 1987 A
4711858 Harder et al. Dec 1987 A
4721885 Brodie Jan 1988 A
4732312 Kennedy et al. Mar 1988 A
4733288 Sato Mar 1988 A
4829018 Wahlstrom May 1989 A
4854986 Raby Aug 1989 A
4866304 Yu Sep 1989 A
4939568 Kato et al. Jul 1990 A
4956307 Pollack et al. Sep 1990 A
5012153 Atkinson et al. Apr 1991 A
5032007 Silverstein et al. Jul 1991 A
5047979 Leung Sep 1991 A
5087585 Hayashi Feb 1992 A
5093704 Sato et al. Mar 1992 A
5106775 Kaga et al. Apr 1992 A
5152857 Ito et al. Oct 1992 A
5162879 Gill Nov 1992 A
5189500 Kusunoki Feb 1993 A
5217916 Anderson et al. Jun 1993 A
5250460 Yamagata et al. Oct 1993 A
5258643 Cohen Nov 1993 A
5265047 Leung et al. Nov 1993 A
5266511 Takao Nov 1993 A
5277748 Sakaguchi et al. Jan 1994 A
5286670 Kang et al. Feb 1994 A
5294556 Kawamura Mar 1994 A
5308782 Mazure et al. May 1994 A
5312771 Yonehara May 1994 A
5317236 Zavracky et al. May 1994 A
5324980 Kusunoki Jun 1994 A
5355022 Sugahara et al. Oct 1994 A
5371037 Yonehara Dec 1994 A
5374564 Bruel Dec 1994 A
5374581 Ichikawa et al. Dec 1994 A
5424560 Norman et al. Jun 1995 A
5475280 Jones et al. Dec 1995 A
5478762 Chao Dec 1995 A
5485031 Zhang et al. Jan 1996 A
5498978 Takahashi et al. Mar 1996 A
5527423 Neville et al. Jun 1996 A
5535342 Taylor Jul 1996 A
5554870 Fitch et al. Sep 1996 A
5563084 Ramm et al. Oct 1996 A
5583349 Norman et al. Dec 1996 A
5583350 Norman et al. Dec 1996 A
5586291 Lasker Dec 1996 A
5594563 Larson Jan 1997 A
5604137 Yamazaki et al. Feb 1997 A
5617991 Pramanick et al. Apr 1997 A
5627106 Hsu May 1997 A
5656548 Zavracky et al. Aug 1997 A
5656553 Leas et al. Aug 1997 A
5659194 Iwamatsu Aug 1997 A
5670411 Yonehara Sep 1997 A
5681756 Norman et al. Oct 1997 A
5695557 Yamagata et al. Dec 1997 A
5701027 Gordon et al. Dec 1997 A
5707745 Forrest et al. Jan 1998 A
5714395 Bruel Feb 1998 A
5721160 Forrest et al. Feb 1998 A
5737748 Shigeeda Apr 1998 A
5739552 Kimura et al. Apr 1998 A
5744979 Goetting Apr 1998 A
5748161 Lebby et al. May 1998 A
5757026 Forrest et al. May 1998 A
5770483 Kadosh Jun 1998 A
5770881 Pelella et al. Jun 1998 A
5781031 Bertin et al. Jul 1998 A
5817574 Gardner Oct 1998 A
5829026 Leung et al. Oct 1998 A
5835396 Zhang Nov 1998 A
5854123 Sato et al. Dec 1998 A
5861929 Spitzer Jan 1999 A
5877034 Ramm Mar 1999 A
5877070 Goesele et al. Mar 1999 A
5882987 Srikrishnan Mar 1999 A
5883525 Tavana et al. Mar 1999 A
5889903 Rao Mar 1999 A
5893721 Huang et al. Apr 1999 A
5915167 Leedy Jun 1999 A
5937312 Iyer et al. Aug 1999 A
5943574 Tehrani et al. Aug 1999 A
5952680 Strite Sep 1999 A
5952681 Chen Sep 1999 A
5965875 Merrill Oct 1999 A
5977579 Noble Nov 1999 A
5977961 Rindal Nov 1999 A
5980633 Yamagata et al. Nov 1999 A
5985742 Henley et al. Nov 1999 A
5994746 Reisinger Nov 1999 A
5998808 Matsushita Dec 1999 A
6001693 Yeouchung et al. Dec 1999 A
6009496 Tsai Dec 1999 A
6020252 Aspar et al. Feb 2000 A
6020263 Shih et al. Feb 2000 A
6027958 Vu et al. Feb 2000 A
6030700 Forrest et al. Feb 2000 A
6052498 Paniccia Apr 2000 A
6054370 Doyle Apr 2000 A
6057212 Chan et al. May 2000 A
6071795 Cheung et al. Jun 2000 A
6075268 Gardner et al. Jun 2000 A
6103597 Aspar et al. Aug 2000 A
6111260 Dawson et al. Aug 2000 A
6125217 Paniccia et al. Sep 2000 A
6153495 Kub et al. Nov 2000 A
6191007 Matsui et al. Feb 2001 B1
6200878 Yamagata Mar 2001 B1
6222203 Ishibashi et al. Apr 2001 B1
6226197 Nishimura May 2001 B1
6229161 Nemati et al. May 2001 B1
6242324 Kub et al. Jun 2001 B1
6242778 Marmillion et al. Jun 2001 B1
6252465 Katoh Jun 2001 B1
6259623 Takahashi Jul 2001 B1
6261935 See et al. Jul 2001 B1
6264805 Forrest et al. Jul 2001 B1
6281102 Cao et al. Aug 2001 B1
6294018 Hamm et al. Sep 2001 B1
6306705 Parekh et al. Oct 2001 B1
6321134 Henley et al. Nov 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6331468 Aronowitz et al. Dec 2001 B1
6331790 Or-Bach et al. Dec 2001 B1
6331943 Naji et al. Dec 2001 B1
6353492 McClelland et al. Mar 2002 B2
6355501 Fung et al. Mar 2002 B1
6355976 Faris Mar 2002 B1
6358631 Forrest et al. Mar 2002 B1
6365270 Forrest et al. Apr 2002 B2
6376337 Wang et al. Apr 2002 B1
6377504 Hilbert Apr 2002 B1
6380046 Yamazaki Apr 2002 B1
6392253 Saxena May 2002 B1
6404043 Isaak Jun 2002 B1
6417108 Akino et al. Jul 2002 B1
6420215 Knall et al. Jul 2002 B1
6423614 Doyle Jul 2002 B1
6429481 Mo et al. Aug 2002 B1
6429484 Yu Aug 2002 B1
6430734 Zahar Aug 2002 B1
6448615 Forbes Sep 2002 B1
6475869 Yu Nov 2002 B1
6476493 Or-Bach et al. Nov 2002 B2
6479821 Hawryluk et al. Nov 2002 B1
6483707 Freuler et al. Nov 2002 B1
6507115 Hofstee Jan 2003 B1
6515334 Yamazaki et al. Feb 2003 B2
6515511 Sugibayashi et al. Feb 2003 B2
6526559 Schiefele et al. Feb 2003 B2
6528391 Henley et al. Mar 2003 B1
6534352 Kim Mar 2003 B1
6534382 Sakaguchi et al. Mar 2003 B1
6544837 Divakauni et al. Apr 2003 B1
6545314 Forbes et al. Apr 2003 B2
6555901 Yoshihara et al. Apr 2003 B1
6563139 Hen May 2003 B2
6580124 Cleeves Jun 2003 B1
6580289 Cox Jun 2003 B2
6600173 Tiwari Jul 2003 B2
6617694 Kodaira et al. Sep 2003 B2
6620659 Emmma et al. Sep 2003 B2
6624046 Zavracky et al. Sep 2003 B1
6627518 Inoue et al. Sep 2003 B1
6627985 Huppenthal et al. Sep 2003 B2
6630713 Geusic Oct 2003 B2
6635552 Gonzalez Oct 2003 B1
6635588 Hawryluk et al. Oct 2003 B1
6638834 Gonzalez Oct 2003 B2
6642744 Or-Bach et al. Nov 2003 B2
6653209 Yamagata Nov 2003 B1
6653712 Knall et al. Nov 2003 B2
6661085 Kellar et al. Dec 2003 B2
6677204 Cleeves et al. Jan 2004 B2
6686253 Or-Bach Feb 2004 B2
6701071 Wada et al. Mar 2004 B2
6703328 Tanaka et al. Mar 2004 B2
6756633 Wang et al. Jun 2004 B2
6756811 Or-Bach Jun 2004 B2
6759282 Campbell et al. Jul 2004 B2
6762076 Kim et al. Jul 2004 B2
6774010 Chu et al. Aug 2004 B2
6805979 Ogura et al. Oct 2004 B2
6806171 Ulyashin et al. Oct 2004 B1
6809009 Aspar et al. Oct 2004 B2
6815781 Vyvoda et al. Nov 2004 B2
6819136 Or-Bach Nov 2004 B2
6821826 Chan et al. Nov 2004 B1
6841813 Walker et al. Jan 2005 B2
6844243 Gonzalez Jan 2005 B1
6864534 Ipposhi et al. Mar 2005 B2
6875671 Fans Apr 2005 B2
6882572 Wang et al. Apr 2005 B2
6888375 Feng et al. May 2005 B2
6917219 New Jul 2005 B2
6927431 Gonzalez Aug 2005 B2
6930511 Or-Bach Aug 2005 B2
6943067 Greenlaw Sep 2005 B2
6943407 Ouyang et al. Sep 2005 B2
6949421 Padmanabhan et al. Sep 2005 B1
6953956 Or-Bach et al. Oct 2005 B2
6967149 Meyer et al. Nov 2005 B2
6985012 Or-Bach Jan 2006 B2
6989687 Or-Bach Jan 2006 B2
6995430 Langdo et al. Feb 2006 B2
6995456 Nowak Feb 2006 B2
7015719 Feng et al. Mar 2006 B1
7016569 Mule et al. Mar 2006 B2
7018875 Madurawe Mar 2006 B2
7019557 Madurawe Mar 2006 B2
7043106 West et al. May 2006 B2
7052941 Lee May 2006 B2
7064579 Madurawe Jun 2006 B2
7067396 Aspar et al. Jun 2006 B2
7067909 Reif et al. Jun 2006 B2
7068070 Or-Bach Jun 2006 B2
7068072 New et al. Jun 2006 B2
7078739 Nemati et al. Jul 2006 B1
7094667 Bower Aug 2006 B1
7098691 Or-Bach et al. Aug 2006 B2
7105390 Brask et al. Sep 2006 B2
7105871 Or-Bach et al. Sep 2006 B2
7109092 Tong Sep 2006 B2
7110629 Bjorkman et al. Sep 2006 B2
7111149 Eilert Sep 2006 B2
7112815 Prall Sep 2006 B2
7115945 Lee et al. Oct 2006 B2
7115966 Ido et al. Oct 2006 B2
7141853 Campbell et al. Nov 2006 B2
7148119 Sakaguchi et al. Dec 2006 B1
7157787 Kim et al. Jan 2007 B2
7157937 Apostol et al. Jan 2007 B2
7166520 Henley Jan 2007 B1
7170807 Fazan et al. Jan 2007 B2
7173369 Forrest et al. Feb 2007 B2
7180091 Yamazaki et al. Feb 2007 B2
7180379 Hopper et al. Feb 2007 B1
7183611 Bhattacharyya Feb 2007 B2
7189489 Kunimoto et al. Mar 2007 B2
7205204 Ogawa et al. Apr 2007 B2
7209384 Kim Apr 2007 B1
7217636 Atanackovic May 2007 B1
7223612 Sarma May 2007 B2
7242012 Leedy Jul 2007 B2
7245002 Akino et al. Jul 2007 B2
7256104 Ito et al. Aug 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7265421 Madurawe Sep 2007 B2
7271420 Cao Sep 2007 B2
7274207 Sugawara et al. Sep 2007 B2
7282951 Huppenthal et al. Oct 2007 B2
7284226 Kondapalli Oct 2007 B1
7296201 Abramovici Nov 2007 B2
7304355 Zhang Dec 2007 B2
7312109 Madurawe Dec 2007 B2
7312487 Alam et al. Dec 2007 B2
7314788 Shaw Jan 2008 B2
7335573 Takayama et al. Feb 2008 B2
7337425 Kirk Feb 2008 B2
7338884 Shimoto et al. Mar 2008 B2
7342415 Teig et al. Mar 2008 B2
7351644 Henley Apr 2008 B2
7358601 Plants et al. Apr 2008 B1
7362133 Madurawe Apr 2008 B2
7369435 Forbes May 2008 B2
7371660 Henley et al. May 2008 B2
7378702 Lee May 2008 B2
7381989 Kim Jun 2008 B2
7385283 Wu Jun 2008 B2
7393722 Issaq et al. Jul 2008 B1
7402483 Yu et al. Jul 2008 B2
7402897 Leedy Jul 2008 B2
7419844 Lee et al. Sep 2008 B2
7432185 Kim Oct 2008 B2
7436027 Ogawa et al. Oct 2008 B2
7439773 Or-Bach et al. Oct 2008 B2
7446563 Madurawe Nov 2008 B2
7459752 Doris et al. Dec 2008 B2
7459763 Issaq et al. Dec 2008 B1
7459772 Speers Dec 2008 B2
7463062 Or-Bach et al. Dec 2008 B2
7463502 Stipe Dec 2008 B2
7470142 Lee Dec 2008 B2
7470598 Lee Dec 2008 B2
7476939 Okhonin et al. Jan 2009 B2
7477540 Okhonin et al. Jan 2009 B2
7485968 Enquist et al. Feb 2009 B2
7486563 Waller et al. Feb 2009 B2
7488980 Takafuji et al. Feb 2009 B2
7492632 Carman Feb 2009 B2
7495473 McCollum et al. Feb 2009 B2
7498675 Farnworth et al. Mar 2009 B2
7499352 Singh Mar 2009 B2
7499358 Bauser Mar 2009 B2
7508034 Takafuji et al. Mar 2009 B2
7514748 Fazan et al. Apr 2009 B2
7521806 Trezza Apr 2009 B2
7525186 Kim et al. Apr 2009 B2
7535089 Fitzgerald May 2009 B2
7541616 Fazan et al. Jun 2009 B2
7547589 Iriguchi Jun 2009 B2
7553745 Lim Jun 2009 B2
7557367 Rogers et al. Jul 2009 B2
7558141 Katsumata et al. Jul 2009 B2
7563659 Kwon et al. Jul 2009 B2
7566855 Olsen et al. Jul 2009 B2
7566974 Konevecki Jul 2009 B2
7586778 Ho et al. Sep 2009 B2
7589375 Jang et al. Sep 2009 B2
7608848 Ho et al. Oct 2009 B2
7612411 Walker Nov 2009 B2
7622367 Nuzzo et al. Nov 2009 B1
7632738 Lee Dec 2009 B2
7633162 Lee Dec 2009 B2
7666723 Frank et al. Feb 2010 B2
7671371 Lee Mar 2010 B2
7671460 Lauxtermann Mar 2010 B2
7674687 Henley Mar 2010 B2
7687372 Jain Mar 2010 B2
7687872 Cazaux Mar 2010 B2
7688619 Lung et al. Mar 2010 B2
7692202 Bensch Apr 2010 B2
7692448 Solomon Apr 2010 B2
7692944 Bernstein et al. Apr 2010 B2
7697316 Lai et al. Apr 2010 B2
7709932 Nemoto et al. May 2010 B2
7718508 Lee May 2010 B2
7723207 Alam et al. May 2010 B2
7728326 Yamazaki et al. Jun 2010 B2
7732301 Pinnington et al. Jun 2010 B1
7741673 Tak et al. Jun 2010 B2
7742331 Watanabe Jun 2010 B2
7745250 Han Jun 2010 B2
7749884 Mathew et al. Jul 2010 B2
7750669 Spangaro Jul 2010 B2
7755622 Yvon Jul 2010 B2
7759043 Tanabe et al. Jul 2010 B2
7768115 Lee et al. Aug 2010 B2
7772039 Kerber Aug 2010 B2
7772096 DeSouza et al. Aug 2010 B2
7774735 Sood Aug 2010 B1
7776715 Wells et al. Aug 2010 B2
7777330 Pelley et al. Aug 2010 B2
7786460 Lung et al. Aug 2010 B2
7786535 Abou-Khalil et al. Aug 2010 B2
7790524 Abadeer et al. Sep 2010 B2
7795619 Hara Sep 2010 B2
7799675 Lee Sep 2010 B2
7800099 Yamazaki et al. Sep 2010 B2
7800148 Lee et al. Sep 2010 B2
7800199 Oh et al. Sep 2010 B2
7816721 Yamazaki Oct 2010 B2
7843718 Koh et al. Nov 2010 B2
7846814 Lee Dec 2010 B2
7863095 Sasaki et al. Jan 2011 B2
7864568 Fujisaki et al. Jan 2011 B2
7867822 Lee Jan 2011 B2
7888764 Lee Feb 2011 B2
7915164 Konevecki et al. Mar 2011 B2
7919845 Karp Apr 2011 B2
7965102 Bauer et al. Jun 2011 B1
7968965 Kim Jun 2011 B2
7969193 Wu et al. Jun 2011 B1
7973314 Yang Jul 2011 B2
7982250 Yamazaki et al. Jul 2011 B2
8008732 Kiyotoshi Aug 2011 B2
8013399 Thomas et al. Sep 2011 B2
8014166 Yazdani Sep 2011 B2
8014195 Okhonin et al. Sep 2011 B2
8022493 Bang Sep 2011 B2
8030780 Kirby et al. Oct 2011 B2
8031544 Kim et al. Oct 2011 B2
8032857 McIlrath Oct 2011 B2
8044464 Yamazaki et al. Oct 2011 B2
8106520 Keeth et al. Jan 2012 B2
8107276 Breitwisch et al. Jan 2012 B2
8129256 Farooq et al. Mar 2012 B2
8129258 Hosier et al. Mar 2012 B2
8130547 Widjaja et al. Mar 2012 B2
8136071 Solomon Mar 2012 B2
8138502 Nakamura et al. Mar 2012 B2
8153520 Chandrashekar Apr 2012 B1
8158515 Farooq et al. Apr 2012 B2
8183630 Batude et al. May 2012 B2
8184463 Saen et al. May 2012 B2
8185685 Selinger May 2012 B2
8203187 Lung et al. Jun 2012 B2
8208279 Lue Jun 2012 B2
8209649 McIlrath Jun 2012 B2
8228684 Losavio et al. Jul 2012 B2
8266560 McIlrath Aug 2012 B2
8264065 Su et al. Sep 2012 B2
8343851 Kim et al. Jan 2013 B2
8354308 Kang et al. Jan 2013 B2
8355273 Liu Jan 2013 B2
8432751 Hafez Apr 2013 B2
8470689 Desplobain et al. Jun 2013 B2
8497512 Nakamura et al. Jul 2013 B2
8501564 Suzawa Aug 2013 B2
8508994 Okhonin Aug 2013 B2
8514623 Widjaja et al. Aug 2013 B2
8566762 Morimoto et al. Aug 2013 B2
8525342 Chandrasekaran Oct 2013 B2
8546956 Nguyen Oct 2013 B2
8603888 Liu Dec 2013 B2
8619490 Yu Dec 2013 B2
8650516 McIlrath Feb 2014 B2
8679861 Bose Mar 2014 B2
8773562 Fan Jul 2014 B1
8775998 Morimoto Jul 2014 B2
8841777 Farooq Sep 2014 B2
8853785 Augendre Oct 2014 B2
8928119 Leedy Jan 2015 B2
8971114 Kang Mar 2015 B2
9172008 Hwang Oct 2015 B2
9227456 Chien Jan 2016 B2
9334582 See May 2016 B2
9570683 Jo Feb 2017 B1
9595530 Zhou Mar 2017 B1
9673257 Takaki Jun 2017 B1
20010000005 Forrest et al. Mar 2001 A1
20010014391 Forrest et al. Aug 2001 A1
20010028059 Emma et al. Oct 2001 A1
20020024140 Nakajima et al. Feb 2002 A1
20020025604 Tiwari Feb 2002 A1
20020074668 Hofstee et al. Jun 2002 A1
20020081823 Cheung et al. Jun 2002 A1
20020090758 Henley et al. Jul 2002 A1
20020096681 Yamazaki et al. Jul 2002 A1
20020113289 Cordes et al. Aug 2002 A1
20020132465 Leedy Sep 2002 A1
20020140091 Callahan Oct 2002 A1
20020141233 Hosotani et al. Oct 2002 A1
20020153243 Forrest et al. Oct 2002 A1
20020153569 Katayama Oct 2002 A1
20020175401 Huang et al. Nov 2002 A1
20020180069 Houston Dec 2002 A1
20020190232 Chason Dec 2002 A1
20020199110 Kean Dec 2002 A1
20030015713 Yoo Jan 2003 A1
20030032262 Dennison et al. Feb 2003 A1
20030059999 Gonzalez Mar 2003 A1
20030060034 Beyne et al. Mar 2003 A1
20030061555 Kamei Mar 2003 A1
20030067043 Zhang Apr 2003 A1
20030076706 Andoh Apr 2003 A1
20030102079 Kalvesten et al. Jun 2003 A1
20030107117 Antonelli et al. Jun 2003 A1
20030113963 Wurzer Jun 2003 A1
20030119279 Enquist Jun 2003 A1
20030139011 Cleeves et al. Jul 2003 A1
20030153163 Letertre Aug 2003 A1
20030157748 Kim et al. Aug 2003 A1
20030160888 Yoshikawa Aug 2003 A1
20030173631 Murakami Sep 2003 A1
20030206036 Or-Bach Nov 2003 A1
20030213967 Forrest et al. Nov 2003 A1
20030224582 Shimoda et al. Dec 2003 A1
20030224596 Marxsen et al. Dec 2003 A1
20040007376 Urdahl et al. Jan 2004 A1
20040014299 Moriceau et al. Jan 2004 A1
20040033676 Coronel et al. Feb 2004 A1
20040036126 Chau et al. Feb 2004 A1
20040047539 Okubora et al. Mar 2004 A1
20040061176 Takafuji et al. Apr 2004 A1
20040113207 Hsu et al. Jun 2004 A1
20040143797 Nguyen Jul 2004 A1
20040150068 Leedy Aug 2004 A1
20040150070 Okada Aug 2004 A1
20040152272 Fladre et al. Aug 2004 A1
20040155301 Zhang Aug 2004 A1
20040156172 Lin et al. Aug 2004 A1
20040156233 Bhattacharyya Aug 2004 A1
20040164425 Urakawa Aug 2004 A1
20040166649 Bressot et al. Aug 2004 A1
20040174732 Morimoto Sep 2004 A1
20040175902 Rayssac et al. Sep 2004 A1
20040178819 New Sep 2004 A1
20040195572 Kato et al. Oct 2004 A1
20040219765 Reif et al. Nov 2004 A1
20040229444 Couillard Nov 2004 A1
20040259312 Schlosser et al. Dec 2004 A1
20040262635 Lee Dec 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050003592 Jones Jan 2005 A1
20050010725 Eilert Jan 2005 A1
20050023656 Leedy Feb 2005 A1
20050045919 Kaeriyama et al. Mar 2005 A1
20050067620 Chan et al. Mar 2005 A1
20050067625 Hata Mar 2005 A1
20050073060 Datta et al. Apr 2005 A1
20050082526 Bedell et al. Apr 2005 A1
20050098822 Mathew May 2005 A1
20050110041 Boutros et al. May 2005 A1
20050121676 Fried et al. Jun 2005 A1
20050121789 Madurawe Jun 2005 A1
20050130351 Leedy Jun 2005 A1
20050130429 Rayssac et al. Jun 2005 A1
20050148137 Brask et al. Jul 2005 A1
20050176174 Leedy Aug 2005 A1
20050218521 Lee Oct 2005 A1
20050225237 Winters Oct 2005 A1
20050266659 Ghyselen et al. Dec 2005 A1
20050273749 Kirk Dec 2005 A1
20050280061 Lee Dec 2005 A1
20050280090 Anderson et al. Dec 2005 A1
20050280154 Lee Dec 2005 A1
20050280155 Lee Dec 2005 A1
20050280156 Lee Dec 2005 A1
20050282019 Fukushima et al. Dec 2005 A1
20060014331 Tang et al. Jan 2006 A1
20060024923 Sarma et al. Feb 2006 A1
20060033110 Alam et al. Feb 2006 A1
20060033124 Or-Bach et al. Feb 2006 A1
20060043367 Chang et al. Feb 2006 A1
20060049449 Iino Mar 2006 A1
20060065953 Kim et al. Mar 2006 A1
20060067122 Verhoeven Mar 2006 A1
20060071322 Kitamura Apr 2006 A1
20060071332 Speers Apr 2006 A1
20060083280 Tauzin et al. Apr 2006 A1
20060108613 Song May 2006 A1
20060113522 Lee et al. Jun 2006 A1
20060118935 Kamiyama et al. Jun 2006 A1
20060121690 Pogge et al. Jun 2006 A1
20060150137 Madurawe Jul 2006 A1
20060158511 Harrold Jul 2006 A1
20060170046 Hara Aug 2006 A1
20060179417 Madurawe Aug 2006 A1
20060181202 Liao et al. Aug 2006 A1
20060189095 Ghyselen et al. Aug 2006 A1
20060194401 Hu et al. Aug 2006 A1
20060195729 Huppenthal et al. Aug 2006 A1
20060207087 Jafri et al. Sep 2006 A1
20060224814 Kim et al. Oct 2006 A1
20060237777 Choi Oct 2006 A1
20060249859 Eiles et al. Nov 2006 A1
20060275962 Lee Dec 2006 A1
20070004150 Huang Jan 2007 A1
20070014508 Chen et al. Jan 2007 A1
20070035329 Madurawe Feb 2007 A1
20070063259 Derderian et al. Mar 2007 A1
20070072391 Pocas et al. Mar 2007 A1
20070076509 Zhang Apr 2007 A1
20070077694 Lee Apr 2007 A1
20070077743 Rao et al. Apr 2007 A1
20070090416 Doyle et al. Apr 2007 A1
20070102737 Kashiwabara et al. May 2007 A1
20070103191 Sugawara et al. May 2007 A1
20070108523 Ogawa et al. May 2007 A1
20070109831 RaghuRam May 2007 A1
20070111386 Kim et al. May 2007 A1
20070111406 Joshi et al. May 2007 A1
20070132049 Stipe Jun 2007 A1
20070132369 Forrest et al. Jun 2007 A1
20070135013 Faris Jun 2007 A1
20070141781 Park Jun 2007 A1
20070158659 Bensce Jul 2007 A1
20070158831 Cha et al. Jul 2007 A1
20070187775 Okhonin et al. Aug 2007 A1
20070190746 Ito et al. Aug 2007 A1
20070194453 Chakraborty et al. Aug 2007 A1
20070206408 Schwerin Sep 2007 A1
20070210336 Madurawe Sep 2007 A1
20070211535 Kim Sep 2007 A1
20070215903 Sakamoto et al. Sep 2007 A1
20070218622 Lee et al. Sep 2007 A1
20070228383 Bernstein et al. Oct 2007 A1
20070252203 Zhu et al. Nov 2007 A1
20070262457 Lin Nov 2007 A1
20070275520 Suzuki Nov 2007 A1
20070281439 Bedell et al. Dec 2007 A1
20070283298 Bernstein et al. Dec 2007 A1
20070287224 Alam et al. Dec 2007 A1
20070296073 Wu Dec 2007 A1
20070297232 Iwata Dec 2007 A1
20080001204 Lee et al. Jan 2008 A1
20080003818 Seidel et al. Jan 2008 A1
20080030228 Amarilio Feb 2008 A1
20080032463 Lee Feb 2008 A1
20080038902 Lee Feb 2008 A1
20080048239 Huo Feb 2008 A1
20080048327 Lee Feb 2008 A1
20080054359 Yang et al. Mar 2008 A1
20080067573 Jang et al. Mar 2008 A1
20080070340 Borrelli et al. Mar 2008 A1
20080072182 He et al. Mar 2008 A1
20080099780 Tran May 2008 A1
20080108171 Rogers et al. May 2008 A1
20080124845 Yu et al. May 2008 A1
20080128745 Mastro et al. Jun 2008 A1
20080128780 Nishihara Jun 2008 A1
20080135949 Lo et al. Jun 2008 A1
20080136455 Diamant et al. Jun 2008 A1
20080142937 Chen et al. Jun 2008 A1
20080142959 DeMulder et al. Jun 2008 A1
20080143379 Norman Jun 2008 A1
20080150579 Madurawe Jun 2008 A1
20080160431 Scott et al. Jul 2008 A1
20080160726 Lim et al. Jul 2008 A1
20080165521 Bernstein et al. Jul 2008 A1
20080175032 Tanaka et al. Jul 2008 A1
20080179678 Dyer et al. Jul 2008 A1
20080180132 Ishikawa Jul 2008 A1
20080185648 Jeong Aug 2008 A1
20080191247 Yin et al. Aug 2008 A1
20080191312 Oh et al. Aug 2008 A1
20080194068 Temmler et al. Aug 2008 A1
20080203452 Moon et al. Aug 2008 A1
20080213982 Park et al. Sep 2008 A1
20080220558 Zehavi et al. Sep 2008 A1
20080220565 Hsu et al. Sep 2008 A1
20080224260 Schmit et al. Sep 2008 A1
20080237591 Leedy Oct 2008 A1
20080239818 Mokhlesi Oct 2008 A1
20080242028 Mokhlesi Oct 2008 A1
20080248618 Ahn et al. Oct 2008 A1
20080251862 Fonash et al. Oct 2008 A1
20080254561 Yoo Oct 2008 A2
20080254572 Leedy Oct 2008 A1
20080254623 Chan Oct 2008 A1
20080261378 Yao et al. Oct 2008 A1
20080266960 Kuo Oct 2008 A1
20080272492 Tsang Nov 2008 A1
20080277778 Furman et al. Nov 2008 A1
20080283875 Mukasa et al. Nov 2008 A1
20080284611 Leedy Nov 2008 A1
20080296681 Georgakos et al. Dec 2008 A1
20080315253 Yuan Dec 2008 A1
20080315351 Kakehata Dec 2008 A1
20090001469 Yoshida et al. Jan 2009 A1
20090001504 Takei et al. Jan 2009 A1
20090016716 Ishida Jan 2009 A1
20090026541 Chung Jan 2009 A1
20090026618 Kim Jan 2009 A1
20090032899 Irie Feb 2009 A1
20090032951 Andry et al. Feb 2009 A1
20090039918 Madurawe Feb 2009 A1
20090052827 Durfee et al. Feb 2009 A1
20090055789 McIlrath Feb 2009 A1
20090057879 Garrou et al. Mar 2009 A1
20090061572 Hareland et al. Mar 2009 A1
20090064058 McIlrath Mar 2009 A1
20090065827 Hwang Mar 2009 A1
20090066365 Solomon Mar 2009 A1
20090066366 Solomon Mar 2009 A1
20090070721 Solomon Mar 2009 A1
20090070727 Solomon Mar 2009 A1
20090078970 Yamazaki Mar 2009 A1
20090079000 Yamazaki et al. Mar 2009 A1
20090081848 Erokhin Mar 2009 A1
20090087759 Matsumoto et al. Apr 2009 A1
20090096009 Dong et al. Apr 2009 A1
20090096024 Shingu et al. Apr 2009 A1
20090115042 Koyanagi May 2009 A1
20090128189 Madurawe et al. May 2009 A1
20090134397 Yokoi et al. May 2009 A1
20090144669 Bose et al. Jun 2009 A1
20090144678 Bose et al. Jun 2009 A1
20090146172 Pumyea Jun 2009 A1
20090159870 Lin et al. Jun 2009 A1
20090160482 Karp et al. Jun 2009 A1
20090161401 Bigler et al. Jun 2009 A1
20090162993 Yui et al. Jun 2009 A1
20090166627 Han Jul 2009 A1
20090174018 Dungan Jul 2009 A1
20090179268 Abou-Khalil Jul 2009 A1
20090185407 Park Jul 2009 A1
20090194152 Liu et al. Aug 2009 A1
20090194768 Leedy Aug 2009 A1
20090194829 Chung Aug 2009 A1
20090194836 Kim Aug 2009 A1
20090204933 Rezgui Aug 2009 A1
20090212317 Kolodin et al. Aug 2009 A1
20090218627 Zhu Sep 2009 A1
20090221110 Lee et al. Sep 2009 A1
20090224330 Hong Sep 2009 A1
20090224364 Oh et al. Sep 2009 A1
20090234331 Langereis et al. Sep 2009 A1
20090236749 Otemba et al. Sep 2009 A1
20090242893 Tomiyasu Oct 2009 A1
20090242935 Fitzgerald Oct 2009 A1
20090250686 Sato et al. Oct 2009 A1
20090262572 Krusin-Elbaum Oct 2009 A1
20090262583 Lue Oct 2009 A1
20090263942 Ohnuma et al. Oct 2009 A1
20090267233 Lee Oct 2009 A1
20090268983 Stone et al. Oct 2009 A1
20090272989 Shum et al. Nov 2009 A1
20090290434 Kurjanowicz Nov 2009 A1
20090294822 Batude et al. Dec 2009 A1
20090294836 Kiyotoshi Dec 2009 A1
20090294861 Thomas et al. Dec 2009 A1
20090302294 Kim Dec 2009 A1
20090302387 Joshi et al. Dec 2009 A1
20090302394 Fujita Dec 2009 A1
20090309152 Knoefler et al. Dec 2009 A1
20090315095 Kim Dec 2009 A1
20090317950 Okihara Dec 2009 A1
20090321830 Maly Dec 2009 A1
20090321853 Cheng Dec 2009 A1
20090321948 Wang et al. Dec 2009 A1
20090325343 Lee Dec 2009 A1
20100001282 Mieno Jan 2010 A1
20100013049 Tanaka Jan 2010 A1
20100025766 Nuttinck et al. Feb 2010 A1
20100025825 DeGraw et al. Feb 2010 A1
20100031217 Sinha et al. Feb 2010 A1
20100038743 Lee Feb 2010 A1
20100045849 Yamasaki Feb 2010 A1
20100052134 Werner et al. Mar 2010 A1
20100058580 Yazdani Mar 2010 A1
20100059796 Scheuerlein Mar 2010 A1
20100078770 Purushothaman et al. Apr 2010 A1
20100081232 Furman et al. Apr 2010 A1
20100089627 Huang et al. Apr 2010 A1
20100090188 Fatasuyama Apr 2010 A1
20100112753 Lee May 2010 A1
20100112810 Lee et al. May 2010 A1
20100117048 Lung et al. May 2010 A1
20100123202 Hofmann May 2010 A1
20100123480 Kitada et al. May 2010 A1
20100133695 Lee Jun 2010 A1
20100133704 Marimuthu et al. Jun 2010 A1
20100137143 Rothberg et al. Jun 2010 A1
20100139836 Horikoshi Jun 2010 A1
20100140790 Setiadi et al. Jun 2010 A1
20100155932 Gambino Jun 2010 A1
20100157117 Wang Jun 2010 A1
20100159650 Song Jun 2010 A1
20100190334 Lee Jul 2010 A1
20100193884 Park et al. Aug 2010 A1
20100193964 Farooq et al. Aug 2010 A1
20100219392 Awaya Sep 2010 A1
20100221867 Bedell et al. Sep 2010 A1
20100224876 Zhu Sep 2010 A1
20100224915 Kawashima et al. Sep 2010 A1
20100225002 Law et al. Sep 2010 A1
20100232200 Shepard Sep 2010 A1
20100252934 Law Oct 2010 A1
20100276662 Colinge Nov 2010 A1
20100297844 Yelehanka Nov 2010 A1
20100307572 Bedell et al. Dec 2010 A1
20100308211 Cho et al. Dec 2010 A1
20100308863 Gliese et al. Dec 2010 A1
20100320514 Tredwell Dec 2010 A1
20100330728 McCarten Dec 2010 A1
20100330752 Jeong Dec 2010 A1
20110001172 Lee Jan 2011 A1
20110003438 Lee Jan 2011 A1
20110024724 Frolov et al. Feb 2011 A1
20110026263 Xu Feb 2011 A1
20110027967 Beyne Feb 2011 A1
20110037052 Schmidt et al. Feb 2011 A1
20110042696 Smith et al. Feb 2011 A1
20110049336 Matsunuma Mar 2011 A1
20110050125 Medendorp et al. Mar 2011 A1
20110053332 Lee Mar 2011 A1
20110101537 Barth et al. May 2011 A1
20110102014 Madurawe May 2011 A1
20110111560 Purushothaman May 2011 A1
20110115023 Cheng May 2011 A1
20110128777 Yamazaki Jun 2011 A1
20110134683 Yamazaki Jun 2011 A1
20110143506 Lee Jun 2011 A1
20110147791 Norman et al. Jun 2011 A1
20110147849 Augendre et al. Jun 2011 A1
20110159635 Doan et al. Jun 2011 A1
20110170331 Oh Jul 2011 A1
20110221022 Toda Sep 2011 A1
20110222356 Banna Sep 2011 A1
20110227158 Zhu Sep 2011 A1
20110241082 Bernstein et al. Oct 2011 A1
20110284946 Kiyotoshi Nov 2011 A1
20110284992 Zhu Nov 2011 A1
20110286283 Lung et al. Nov 2011 A1
20110304765 Yogo et al. Dec 2011 A1
20110314437 McIlrath Dec 2011 A1
20120001184 Ha et al. Jan 2012 A1
20120003815 Lee Jan 2012 A1
20120013013 Sadaka et al. Jan 2012 A1
20120025388 Law et al. Feb 2012 A1
20120034759 Sakaguchi et al. Feb 2012 A1
20120063090 Hsiao et al. Mar 2012 A1
20120074466 Setiadi et al. Mar 2012 A1
20120086100 Andry Apr 2012 A1
20120126197 Chung et al. May 2012 A1
20120161310 Brindle et al. Jun 2012 A1
20120169319 Dennard Jul 2012 A1
20120178211 Hebert Jul 2012 A1
20120181654 Lue Jul 2012 A1
20120182801 Lue Jul 2012 A1
20120187444 Oh Jul 2012 A1
20120193785 Lin Aug 2012 A1
20120241919 Mitani Sep 2012 A1
20120286822 Madurawe Nov 2012 A1
20120304142 Morimoto Nov 2012 A1
20120317528 McIlrath Dec 2012 A1
20120319728 Madurawe Dec 2012 A1
20130026663 Radu et al. Jan 2013 A1
20130037802 England Feb 2013 A1
20130049796 Pang Feb 2013 A1
20130070506 Kajigaya Mar 2013 A1
20130082235 Gu et al. Apr 2013 A1
20130097574 Balabanov et al. Apr 2013 A1
20130100743 Lue Apr 2013 A1
20130128666 Avila May 2013 A1
20130187720 Ishii Jul 2013 A1
20130193550 Sklenard et al. Aug 2013 A1
20130196500 Batude et al. Aug 2013 A1
20130203248 Ernst et al. Aug 2013 A1
20130263393 Mazumder Oct 2013 A1
20130337601 Kapur Dec 2013 A1
20140015136 Gan et al. Jan 2014 A1
20140048867 Toh Feb 2014 A1
20140103959 Andreev Apr 2014 A1
20140117413 Madurawe May 2014 A1
20140120695 Ohtsuki May 2014 A1
20140131885 Samadi et al. May 2014 A1
20140137061 McIlrath May 2014 A1
20140145347 Samadi et al. May 2014 A1
20140146630 Xie et al. May 2014 A1
20140149958 Samadi et al. May 2014 A1
20140151774 Rhie Jun 2014 A1
20140191357 Lee Jul 2014 A1
20140225218 Du Aug 2014 A1
20140225235 Du Aug 2014 A1
20140252306 Du Sep 2014 A1
20140253196 Du et al. Sep 2014 A1
20140264228 Toh Sep 2014 A1
20150243887 Saitoh Aug 2015 A1
20150255418 Gowda Sep 2015 A1
20160049201 Lue Feb 2016 A1
20160104780 Mauder Apr 2016 A1
20160141334 Takaki May 2016 A1
20160307952 Huang Oct 2016 A1
20160343687 Vadhavkar Nov 2016 A1
20170092371 Harari Mar 2017 A1
20170148517 Harari May 2017 A1
20170179146 Park Jun 2017 A1
20170221900 Widjaja Aug 2017 A1
20180090368 Eun-Jeong et al. Mar 2018 A1
20180108416 Harari Apr 2018 A1
20180294284 Tarakji Oct 2018 A1
Foreign Referenced Citations (2)
Number Date Country
1267594 Dec 2002 EP
PCTUS2008063483 May 2008 WO
Non-Patent Literature Citations (270)
Entry
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366.
Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8.
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334.
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419.
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol., No., pp. 14-15, Jun. 12-14, 2007.
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132.
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189.
Dicioccio, L., et. al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113.
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189.
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009.
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ΦFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640.
Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9.
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74.
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64.
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004.
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12.
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635.
Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1.
Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4.
Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042.
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15.
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125.
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31.
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52.
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4.
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348.
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59.
Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5.
Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673.
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71.
Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447.
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages.
Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D NANO Electronic Systems”, 2009, Artech House.
Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009.
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1.
Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726.
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings.
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009.
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107.
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103.
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220.
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335.
Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4.
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4.
Lee, Y.-J., et. al, “3D 65nm CMOS with 320°C Microwave Dopant Activation”, IEDM 2010, pp. 1-4.
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58.
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236.
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268.
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003.
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112.
Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010.
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4.
Jung, S.-M., et al., ““Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node””, IEDM 2006, Dec. 11-13, 2006.
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003.
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22.
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221.
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415.
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106.
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong.
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010.
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220.
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17.
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008.
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203.
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894.
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005.
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation.
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415.
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660.
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45.
Kim, K., “From the Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9.
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg.
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010.
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510.
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122.
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764.
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006.
Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501.
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4.
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003.
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100.
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”,www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010.
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society.
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96.
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986.
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945.
Kunio, T., et al., “Three Dimensional Ics, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840.
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283.
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014.
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45.
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco.
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4.
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154.
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774.
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87.
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA.
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010.
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005.
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012.
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436.
Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011).
Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168.
Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499.
Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012).
Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236.
Batude, P., et al., “3D Sequential Integration: A Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722.
Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319.
Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol., No., pp. 562-567, Jun. 4-8, 2007.
Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50 (14) 63-68 (2012).
Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013).
Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007.
Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30.
Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115.
Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Phyisics Reviews, 1, 011104 (2014).
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5.
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003.
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005.
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447.
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000).
Yonehara, T. et al., “Eltran®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4.
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720.
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009.
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development , vol. 52, No. 4.5, pp. 449-464, Jul. 2008.
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003).
Auth, C., et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129.
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247.
Ragnarsson, L., et al., “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009.
Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185.
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169.
Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219.
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377.
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001.
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006.
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683.
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007.
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17.
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to 053511-2, 2009.
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004.
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005.
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005.
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007.
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190.
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News.
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007).
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6.
Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41.
Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92.
Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes, ” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22.
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002.
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9.
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>.
Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804.
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3.
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428.
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576.
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150.
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913.
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663.
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4.
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337.
Lu, N.C.C. et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591.
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2.
Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852.
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28.
Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4.
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150.
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403.
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277.
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223.
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004.
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12.
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230.
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10.
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299.
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618.
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54 (11), Nov. 2005, pp. 1329-1344.
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14.
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207.
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18.
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670.
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663.
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297.
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763.
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459.
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22.
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308.
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings Date, pp. 1338-1343.
McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96.
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3).
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175.
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378.
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558.
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3.
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493.
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215.
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517.
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45.
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647.
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902.
Kim, G.-S., et al., “A 25-mV-sensitivity 2-Gb/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713.
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452.
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292.
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24.
Meindl, J. D., “Beyond Moore's Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24.
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410.
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030.
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco.
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008).
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36.
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467.
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22.
Borland, J.O., “Low Temperature Activation of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88.
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054.
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs.
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332.
Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16.
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567.
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733.
Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109.
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25.
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223.
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460.
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268.
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers.
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169.
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006.
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006.
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006.
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226.
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All-Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938.
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12.
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49.
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510.
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040.
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51.
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127.
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134.
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963.
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006).
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276.
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263.
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986.
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+′” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088.
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323.
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408.
Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994).
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628.
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007).
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003).
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998).
Miller, D.A.B “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70.
En, W. G., et al., “The Genesis Process”: A New SOI wafer fabrication method, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164.
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24.
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249.
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002.
Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992.
Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003.
Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008.
Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Applied Physics, vol. 46, No. 1, 2007, pp. 21-23.
FOA—De3 Apr. 26, 2013: Faynot, O. et al., “Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol., No., pp. 3.2.1, 3.2.4, Dec. 6-8, 2010.
FOA—De3 Apr. 26, 2013: Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan.
Email/mtg Byoung Hun Lee: Kim, I.-K., et al.,“Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4.
Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61.
Related Publications (1)
Number Date Country
20180218946 A1 Aug 2018 US
Continuations (1)
Number Date Country
Parent 13635436 US
Child 13623756 US
Continuation in Parts (3)
Number Date Country
Parent 15488514 Apr 2017 US
Child 15904347 US
Parent 14975830 Dec 2015 US
Child 15488514 US
Parent 13623756 Sep 2012 US
Child 14975830 US