Structure and method for integrated circuits packaging with increased density

Information

  • Patent Grant
  • 10381326
  • Patent Number
    10,381,326
  • Date Filed
    Wednesday, May 28, 2014
    10 years ago
  • Date Issued
    Tuesday, August 13, 2019
    4 years ago
Abstract
A method of forming a semiconductor package comprises forming one or more first vias in a first side of a substrate and attaching a first side of a first microelectronic element to the first side of the substrate. The first microelectronic element is electrically coupled to at least one of the one or more first vias. The method further comprise obtaining a second microelectronic element including one or more second vias in a first side of the second microelectronic element, and attaching a second side of the substrate to the first side of the second microelectronic element. The second microelectronic element is electrically coupled to at least one of the one or more first vias. Each of one or more connecting elements has a first end attached to a first side of the second microelectronic element and a second end extends beyond a second side of the first microelectronic element.
Description
TECHNICAL FIELD

This present disclosure generally relates to integrated circuits (ICs) packaging technology, and more particularly to IC packaging with high density stacking.


BACKGROUND ART

The electronics industry has experienced an ever increasing demand for smaller and faster electronic devices which are simultaneously able to support a greater number of increasingly complex and sophisticated functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture low-cost, high-performance, and low-power integrated circuits (ICs). Thus far these goals have been achieved in large part by scaling down semiconductor IC dimensions (e.g., minimum feature size) and thereby improving production efficiency and lowering associated costs. However, such scaling has also introduced increased complexity to the semiconductor manufacturing process. Thus, the realization of continued advances in semiconductor ICs and devices calls for similar advances in semiconductor manufacturing processes and technology.


As one example, the effort to increase IC functionality within a reduced area has led to the introduction of 3D-IC designs. In such designs, multiple layers of active electronic devices are vertically integrated, for example within a single substrate or by using stacked substrates. 3D-IC designs can offer improved performance (e.g., due to shorter interconnects) as well as heterogeneous functionality (e.g., logic, memory, image sensors, MEMS, etc.) in a reduced form factor. One important tool in the development of 3D ICs has been through substrate via (TSV) technology, which provides an electrically conductive path between a front- and back-side of a substrate, providing for the vertical stacking of multiple die (or “chips”). However, stacked die which utilize TSVs also present challenges such as heat dissipation, interconnect routing and cell placement, and transistor reliability, among others.


Some of the challenges of TSV implementation have been addressed with the introduction of silicon interposers. Silicon interposers can be used for TSV formation while not containing any active devices, thus mitigating issues introduced in active die which contain TSVs. Moreover, an interposer disposed between active die can be used to rewire connections between the die, for example by reconfiguring an input/output (I/O) count between a front-side and a back-side of the interposer.


While TSVs and silicon interposers have been key enablers for 3D-IC technology, continued improvements in system integration and bandwidth require even higher device and I/O density, reduced power consumption, and improved access times (e.g., to memory blocks), all within an ever-reducing form factor. Accordingly, improved semiconductor packaging solutions for 3D-IC systems, which provide high density I/O configurations while maintaining a compact design, are desired.


SUMMARY

In some embodiments, as described herein, a method of forming a semiconductor package comprises forming one or more first vias in a first side of a substrate; attaching a first side of a first microelectronic element to the first side of the substrate, the first microelectronic element electrically coupled to at least one of the one or more first vias; obtaining a second microelectronic element including one or more second vias in a first side of the second microelectronic element, with one or more connecting elements each of which has a first end attached to a first side of the second microelectronic element; and attaching a second side of the substrate to the first side of the second microelectronic element, the second microelectronic element electrically coupled to at least one of the one or more first vias. In some embodiments, a second end of each of the one or more connecting elements extends beyond a second side of the first microelectronic element.


In some embodiments, a semiconductor package comprises a substrate comprising one or more first vias extending through the substrate from a first side of the substrate to a second side of the substrate; a first microelectronic element attached to the first side of the substrate and electrically coupled to at least one of the one or more first vias; a second microelectronic element attached to the second side of the substrate and electrically coupled to at least one of the one or more first vias; and one or more connecting elements each having a first end attached to the second microelectronic element and a second end extending beyond the first microelectronic element.


In some embodiments, a semiconductor package comprises a substrate comprising one or more vias extending through the substrate from a first side of the substrate to a second side of the substrate; a first microelectronic element attached to the first side of the substrate and electrically coupled to at least one of the one or more vias; and an encapsulation layer encapsulating the first microelectronic element and the substrate. In some embodiments, the encapsulation layer comprises a carbon material dispersed therein to increase thermal conductivity of the encapsulation layer. In some embodiments, the semiconductor package further comprises a second microelectronic element attached to the second side of the substrate and electrically coupled to at least one of the one or more vias; and one or more connecting elements each having a first end attached to the second microelectronic element and a second end extending beyond the first microelectronic element. In some embodiments, at least one of the one or more connecting elements comprises a carbon material to increase thermal conductivity of the at least one of the one or more connecting elements.





BRIEF DESCRIPTION OF THE DRAWINGS


FIGS. 1-3, and 4A-4B are cross-sectional views of an integrated circuits (IC) assembly fabricated according to some embodiments of the present disclosure.



FIG. 5 is a schematic cross-sectional view of components of an IC assembly according to some embodiments of the present disclosure.



FIG. 6 is cross-sectional view of an IC assembly according to some embodiments of the present disclosure.



FIGS. 7A-7B are cross-sectional views a second-level module according to some embodiments of the present disclosure.



FIGS. 8A-8B are cross-sectional views of fourth-level modules formed by stacking two second-level modules in various embodiments of the present disclosure.



FIG. 9 is a cross-sectional view of an IC assembly formed by stacking various modules according to some embodiments of the present disclosure.



FIG. 10 is a cross-sectional view of an IC packaging structure according to some embodiments of the present disclosure.



FIG. 11 is a flow chart illustrating a method for IC packaging according to some embodiments of the present disclosure.





DESCRIPTION OF SOME EMBODIMENTS

In addition to the foregoing features, other features and advantages will be understood by persons of ordinary skill in the art having benefit of the present description. The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.


Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.



FIGS. 1-3, and 4A-4B are cross-sectional views of an integrated circuit (IC) assembly 100 fabricated according to some embodiments of the present disclosure. Referring to FIG. 1, the IC assembly 100 includes an interposer substrate 102 having a first (top) side 112 and a second (bottom) side 114. In some embodiments, interposers are used as intermediate substrates (e.g., between die or die packages, between printed circuit boards and die, etc.) which are useful for rewiring input/output (I/O) terminals between the back side and the front side of the interposer substrate 102, and/or to improve heat dissipation and mechanical strength, and/or absorb mismatches of coefficients of thermal expansion (CTE) between other components of the system. The interposer substrate 102 may be initially chosen to be sufficiently thick to provide easy handling and adequate heat dissipation in fabrication, and can be thinned later. In some embodiments, the interposer substrate 102 may include a single crystal silicon (Si) wafer with a diameter of about 200 mm or about 300 mm, and a thickness of about 650 micron or more. These materials and dimensions are merely exemplary and not limiting. The interposer substrate 102 may also include other semiconductor materials (e.g., gallium arsenide GaAs), glass, sapphire, metal, or possibly other materials. Other possible materials for the interposer substrate 102 may include NbTaN and LiTaN. In some embodiments, the interposer may also include active circuits, e.g. transistors.


Still referring to FIG. 1, the interposer substrate 102 may include one or more electrically conductive vias 104. The vias 104 may be “blind vias”, terminating inside the interposer substrate 102 as shown in the exemplary FIG. 1. Alternatively, one or more vias 104 may penetrate the interposer substrate 102, forming through substrate vias (TSVs). The vias 104 may include, or be made of, copper (Cu), tungsten (W), or any other suitable metal or non-metal conductive materials. In some embodiments, the vias 104 may be formed using a lithography process, an etching process, and a filling/deposition process. The lithography process may include forming a resist layer (not shown) over the first side 112 of the interposer substrate 102 and patterning the resist layer to define the locations of the vias 104. The etching process may then be performed using the patterned resist layer as an etching mask to form one or more holes for vias 104 in the interposer substrate 102. The etching process may include a dry etch such as a reactive ion etching (RIE) process. The vias 104 may include vertical, sloped, or any other shapes. Conductive material may then be deposited into the holes to form the vias 104. Dielectric can be formed between the conductive material and the holes' surfaces if needed for electrical insulation. A barrier layer can be formed between the conductive material and the holes' surfaces if needed for improved adhesion and preventing interdiffusion, as known in the art. The vias can be formed, for example, by electroplating or other techniques.


Still referring to FIG. 1, a first redistribution layer (RDL) 106 is formed on the first (top) side 112 of the interposer substrate 102. In some embodiments, the first RDL 106 includes interconnect lines (not shown) insulated from each other and insulated from interposer substrate 102 by the RDL's dielectric (not shown separately). The RDL provides contact pads (not shown) on top of the interposer. The RDL's interconnect lines interconnect the contact pads and vias 104 in the interposer substrate 102 as desired; an interconnect may connect contact pads to each other and/or to one or more vias 104, or may connect vias 104 to each other. In the various embodiments described herein, the interposer substrate 102 and RDLs (including the first RDL 106 or other RDL layers described below) may also include transistors, resistors, capacitors, and other devices (not shown). It is to be understood that FIG. 1 is merely exemplary and the first RDL 106 may be omitted.


Referring to FIG. 2, the interposer substrate 102 is rotated with the second (bottom) side 114 facing up and the first (top) side 112 facing down. In some embodiments, one or more first microelectronic (ME) elements 122 (e.g. chips (also called die, or possibly other electronic assemblies or packages) are attached to the first side 112 of the interposer substrate 102. For example, the circuitry of ME elements 122 may be coupled to the vias 104. ME elements 122 may include any types of circuits, including possibly memory, logic, control, and/or other types. Each ME element 122 has a first side 124, and a second side 126. As shown in FIG. 2, the first side 124 of each ME element 122 is attached to the first RDL 106 formed over the first side 112 of the interposer 102. In some alternative examples, the first side 124 of at least one ME element 122 is attached directly to the first side 112 of the interposer 102 without using the first RDL 106. In some examples, one or more of the vias 104 may be used to provide electrical connection and/or thermal conduction paths to transfer heat away from the ME elements or from other heat-sensitive components (i.e. a via 104 may have no electrical functionality).


Still referring to FIG. 2, in order to attach the first side 124 of each ME element 122 to the first side 112 of the interposer 102, one or more contact elements 132 (e.g. solder balls) may be formed on the first RDL 106. The contact elements 132 may also include conductive or anisotropic adhesive, or other types of connections. The contact elements 132 are a schematic representation of attachments to other structures as described below, and in some embodiments the contact elements 132 are not separate elements (e.g. if the attachment is by thermocompression). If contact elements 132 are separate elements, they may be attached to one or more contact pads (not shown) provided by the first RDL 106. The contact elements 132 may include metals such as tin (Sn), indium (In), gold (Au), or metal alloys. There examples are exemplary embodiments and not limiting. The contact elements 132 may have any other suitable form, such as elongated connectors, and/or a series of stacked conductive masses.


Still referring to FIG. 2, an underfill 134 may be formed between the first RDL 106 and the first side 124 of each ME element 122. In some examples, the underfill 134 may be formed prior to or after the attachment of the ME elements 122. The underfill 134 may include an epoxy with silica or other particles, or other suitable polymeric materials. The underfill 134 may protect the ME elements and/or electrical connections (e.g., contact elements 132) from moisture and other contaminants, ultraviolet light, alpha particles, and possibly other harmful elements. The underfill 134 can also strengthen the attachment between RDL and the ME elements. In some embodiments, the underfill may 134 may also help to conduct heat away from the ME elements 122.


Referring to FIG. 3, in some embodiments, an encapsulation 136 is formed over the second sides 126 of ME elements 122 by molding or other techniques. The encapsulation 136 includes any suitable materials, such as epoxy with silica or other particles, or other suitable polymeric materials. In some embodiments, the encapsulation 136 includes carbon materials, such as graphite, dispersed in the encapsulation 136 to increase thermal conductivity of the encapsulation 136.


Optionally, carrier wafer 138 can be attached to a surface 137 of encapsulation 136. The carrier wafer 138 can be used as a support wafer during processing and can be removed upon process completion. For example, when the IC assembly 100 has sufficient mechanical strength to withstand handling, the carrier wafer 138 may be unnecessary.


As shown in FIG. 3, if one or more of the original vias 104 are “blind vias” as shown in FIG. 1, the interposer substrate 102 is then thinned from the second side 114 to expose the buried ends of the vias 104 and thus turn the blind vias into TSVs. The back-side thinning process 139 may include reactive ion etching (RIE) and/or other dry etching processes, and/or wet etching, and/or grinding and/or lapping and/or chemical mechanical polishing (CMP) and/or other processes. A planar surface may be formed by the TSVs 104 and the interposer substrate 102 after the thinning process 139 as shown in FIG. 3. In other embodiments, TSVs 104 protrude out of the interposer. See e.g. U.S. Pat. No. 6,639,303 issued Oct. 28, 2003 to Siniaguine and incorporated herein by reference.


In an alternative embodiment, vias 104 are not provided at the stage of FIG. 1 but are formed after thinning the interposer substrate to obtain the structure of FIG. 3. In other embodiments, the holes for vias 104 are formed at the stage of FIG. 1, but the holes are filled with conductive material at the stage of FIG. 3. The dielectric or other layers in vias 104 can be formed partly at the stage of FIG. 1 and partly at the stage of FIG. 3.


Referring to FIG. 4A, a second RDL 142 is formed on the second side 114 of the interposer 102. The RDL 142 provides contact pads (not shown) on side 114 of the interposer. The RDL's interconnect lines interconnect the contact pads and vias 104 in the interposer substrate 102 as desired; an interconnect may connect contact pads to each other and/or to one or more vias 104, or may connect vias 104 to each other. In some embodiments, the interposer substrate 102, the RDL 106, and the RDL 142 may include transistors, resistors, capacitors, and/or other devices (not shown). The components and methods used to form the RDL 142 may be substantially similar to those for the RDL 106. In some embodiments, the RDL 142 is omitted.


One or more contact elements 144 are shown on the second RDL 142. These may be separate elements, such as solder balls, or just a schematic representation indicating possible attachment to other structures. If they are separate elements, they may be attached to one or more contact pads (not shown) provided by the second RDL 142. The contact elements 144 may include a bond metal such as tin (Sn), indium (In), gold (Au), metal alloys, or a curable material. It is to be understood that the contact elements 144 such as solder balls shown in FIG. 4A are merely exemplary embodiments and are not intended to be limiting. The contact elements 144 may have any other suitable form, such as elongated connectors (e.g. copper posts), and/or a series of stacked conductive masses. The contact elements 144 may be substantially similar to the contact elements 132 shown in FIG. 2.


Still referring to FIG. 4A, the IC assembly 100 is then diced along the dice lines 146 to form a plurality of first-level modules 150. The carrier wafer 138 may be removed before or after dicing. As shown in FIG. 4B, each first-level module 150 includes an ME element 122 stacked on the interposer 102, and the interposer 102 includes one or more TSVs 104. (Of note, we use numeral 102 to refer both to the interposer substrate 102 and to the entire interposer, i.e. the substrate with the RDLs.) In some embodiments, the TSVs 104 are coupled to the ME element 122 to provide electrical connection between the ME element 122 and the other components in the IC packaging, and/or thermal conduction to transfer heat away from the ME element 122.



FIGS. 5-6 are cross-sectional views of an IC assembly 200 according to some embodiments of the present disclosure. In the present embodiment, the IC assembly 200 includes multiple ME elements, i.e. two ME elements stacked together. The IC assembly 200 may be used to fabricate one or more second-level modules 250 (FIGS. 7A, 7B) as discussed below. The IC assembly 200 and the second-level module 250 are exemplary and not limiting. The IC assembly may include any suitable numbers of ME elements stacked together.


Referring to FIG. 5, a second ME element 202 may include a wafer or a chip (“die”) including a semiconductor integrated circuit, which could include memory, logic or control circuitry (e.g. a computer processor), or other types of circuits. The second ME element 202 may or may not be substantially similar to the ME element 122, e.g. the two ME elements may perform the same or different functions. The second ME element 202 may include a substrate different from the substrate of the first ME element 122.


Still referring to FIG. 5, the ME element 202 has a first (top) side 204, and a second (bottom) side 206. The ME element 202 includes one or more electrically conductive vias 207. In some embodiments as shown in FIG. 5, the vias 207 are through substrate vias (TSVs) 207 extending from the top side 204 through the ME element 202 to the bottom side 206. The TSVs 207 may be made by the same processes as discussed above for TSVs 104 in the interposer 102. In some alternative embodiments, the vias 207 are “blind vias” extending from side 204 and terminating inside the ME element 202.


Alternatively, the ME element 202 may include a substrate (e.g. semiconductor, glass, and/or other materials) with an RDL (not shown) on top and/or bottom; the vias 207 are made in the substrate as blind vias or TSVs 207 passing through the substrate; vias 207 are connected to top and/or bottom contact pads of ME element 202, and possibly to each other, by the RDL interconnects as needed.


As shown in FIG. 5, one or more connecting elements 208 are formed on the first side 204 of the second ME element 202. In some embodiments, each connecting element 208 includes a first end (e.g., base) 208a attached to ME element 202, and includes the opposite second end (e.g., tip) 208b. Connecting elements 208 may or may not be vertical. The first end 208a may be widened to provide a pad 208c on the first side 204 of the second ME element 202. The pad 208c may be made of copper, nickel, aluminum, tin, palladium, other suitable conductive material, or combinations thereof. In some embodiments, the connecting element 208 may include conductive materials such as copper, nickel, aluminum, tin, palladium, or other suitable conductive materials to provide electrical conduction between the ME element 202 and the other components. In some embodiments, the connecting element 208 may include carbon materials, such as graphite, to increase the thermal conductivity and to transfer heat away from the second ME element 202. In some embodiments, the connecting elements 208 may be arranged to form connecting arrays 210. The connecting arrays 210 may be formed at the peripheral areas to surround the first-level modules 150 to be attached to the second ME element 202 as discussed below. Connecting elements 208 can be wires bonded to ME element 202 as described, for example, in Invensas™ High Performance BVA PoP package for Mobile Systems, May 2013 by Invensas Corporation of San Jose, Calif., and is incorporated herein by reference. See also U.S. Pat. No. 8,618,659 issued Dec. 31, 2013 to Sato et al. and incorporated herein by reference.


Referring to FIG. 6, one or more first-level modules 150 are attached to the first side 204 of the second ME element 202. In some embodiments, the vias 207 of the second ME element 202 may be coupled to the vias 104 of the interposer 102. In some examples as shown in FIG. 6, the second RDL 142 is formed over the second side 114 of the interposer substrate 102 and electrically coupled to the vias 207 of the second ME element 202 and the interposer 102. The RDL 142 is attached to contact elements 144 placed on the second ME element 202. In some alternative examples, the interposer 102 may be directly attached to the second ME element 202 without using the second RDL 142. Prior to or after attaching the first-level module 150 to the second ME element 202, an underfill 212 may be formed between the second RDL 142 and the second ME element 202. The underfill 212 can be made of the same materials, and perform the same function, as described above for underfill 134.


In some embodiments as shown in FIG. 6, connecting arrays 210 are formed at the peripheral areas to partly or completely surround the first-level modules 150 attached to the second ME element 202. In some embodiments, the conducting elements 208 may include pads, conductive masses (e.g., solder balls), Bond Via Array™ (BVA) technology from Invensas Corporation of San Jose, Calif., or any other suitable elements.


Still referring to FIG. 6, an encapsulation 214 is formed over the first side 204 of the second ME element 202, possibly by molding, possibly using the same techniques and materials and performing the same functions as described above for encapsulation 136. In some embodiments, the second ends 208b of the connecting elements 208 protrude out of a surface 217 of the encapsulation 214. The protruding ends 208b may extend beyond the ME element 122 as shown in FIG. 6. The protruding ends 208b may be used to connect other components in the IC package. The protruding ends 208b may be available for electrical conduction and/or thermal conduction.


In some embodiments, a carrier wafer (not shown) may be attached to the bottom of ME element 206 to provide support during processing, and the carrier wafer may be removed upon process completion. The carrier wafer may be optional.


Still referring to FIG. 6, the IC assembly 200 is then diced along the dice lines 216 to form the one or more second-level modules 250. Each the second-level module 250 includes an ME element 122 stacked on the first side 112 of the interposer 102, and the second ME element 202 stacked on the second side 114 of the interposer 102. The interposer 102 includes one or more TSVs 104. The second ME element 202 may also include one or more TSVs 207. In some embodiments, at least one of the TSVs 104, the TSVs 207, and the connecting elements 208/connecting arrays 210 may provide electrical connection and/or thermal conduction in the second-level module 250.



FIGS. 7A-7B are cross-sectional views of a second-level module 250 according to some embodiments of the present disclosure. FIGS. 7A and 7B show similar structures except that FIG. 7B does not have RDLs on the interposer. Second-level module 250 includes the interposer 102, the ME element 122 disposed on the first side 112 of the interposer 102, and the second ME element 202 disposed on the second side 114 of the interposer 102. Each of the interposer 102, the ME element 122, and the second ME element 202 may include one or more TSVs (e.g., TSVs 104, TSVs 256, or TSVs 207). The connecting arrays 210 (e.g., BVAs) may also be formed on the second ME element for connecting the second ME element to other components (not shown). As shown in FIGS. 7A-7B, the connecting arrays 210 include protruding ends which extend beyond the ME element 122. The TSVs and the connecting arrays 210 may be used for electrical conduction and/or thermal conduction. In some embodiments, RDL (e.g., RDL 106 and/or RDL 142) may be formed on the first side 112 and/or the second side 114 as shown in FIG. 7A. In some embodiments, one or more contact elements (e.g., solder balls) and underfill may be used for attaching the ME element 122 and/or the second ME element 202 to the interposer 102 as shown in FIG. 7A. Molding or other process may also be performed to encapsulate the second-level module 250 into additional encapsulant (not shown).



FIGS. 8A-8B are cross-sectional views of exemplary fourth-level modules 300 each of which is a stack of two second-level modules 250 (i.e. 250-1 and 250-2). In FIG. 8A, the modules 250-1, 250-2 are attached to each other bottom-to-bottom (i.e. second side to second side): the second sides 206 of the second ME element 202 of second-level modules 250-1 and 250-2 may be attached to each other using connections 302. Each connection 302 may include one or more connecting elements (e.g., solder balls) or other types of connections attached to one or more pads in the second-level modules. (Connections 302 can also be simply schematic representations and not separate physical elements if, say, thermocompression is used.)


In FIG. 8B, the modules 250-1, 250-2 are attached to each other bottom-to-top: the second (bottom) side 206 of the second ME element 202 of module 250-1 is attached to the top of module 250-2, i.e. to the second side 126 of the first ME element 122 of module 250-2. The attachment is by connections 304 which can be as connections 302.



FIG. 9 is an example of such assembly 400, showing a cross-sectional view. The IC assembly 400 may be formed by sequentially stacking a number i of modules from the first level to the i-th level using the process substantially similar to the process discussed in FIGS. 1-6, 7A-7B, and 8A-8B. For example, an (i−2)-level module 402 (generally representing for example one or more first-level modules 150 of FIG. 5; second-level modules 250 of FIG. 7A or 7B; or a stack such as of two second-level modules 250-1 and 250-2 of FIG. 8A or 8B) may be attached to a (i−1)-th ME element 404 to form a (i−1)-level module 406. The (i−2)-level module 402 may include a number i−2 of ME elements attached to each other and possibly separated by one or more interposers. Each ME element and each interposer included in the (i−2)-level module 402 may include one or more TSVs. The (i−2)-level module 402 may be attached to the (i−1)-the ME element 404 by contacts 420 (e.g., solder balls, BVAs, or TSVs). The (i−1)-the ME element 404 may include TSVs 422 or BVAs 422. The contacts 420 may be coupled to the TSVs 422 or BVAs 422 of the (i−1)-the ME element 404. One or more connecting arrays 405 may be formed on the (i−1)-th ME element 404, and the (i−2)-level module 402 may then be attached to and encapsulated (e.g. molded) together with the (i−1)-th ME element 404 to form the (i−1)-level module 406.


Still referring to FIG. 9, the connecting arrays 410 may be formed on an i-th ME element 408, and the formation of the connecting arrays 410 may be substantially similar to that of the connecting arrays 210 as discussed in FIG. 6. The (i−1)-level modules 406 may be attached to and molded with the i-th ME element 408 to form the IC assembly 400, which includes one or more i-level modules 450. As shown in FIG. 9, the (i−2)-level module 402 may be attached to the i-th ME element 408 by contacts 424 (e.g., solder balls, BVAs, or TSVs). The i-th ME element 408 may include TSVs 426 or BVAs 426. The contact 424 may be coupled to the TSVs 426 or BVAs 426 of the i-th ME element 408. The IC assembly 400 may be diced along the dice lines 412 to form the one or more i-level modules 450.


As shown in FIG. 9, the i-level module 450 may be obtained by sequential stacking of other modules along a Z direction, and the interposer and/or the ME element of each level may be disposed along an X-Y plane. The ME elements and interposers of the i-level module 450 may include one or more TSVs (e.g., TSVs 422 and/or TSVs 426) for providing electrical and/or thermal conduction along the Z direction. The connecting arrays (e.g., connecting arrays 405 or connecting arrays 410) may also provide electrical and/or thermal conduction along the Z direction. In some embodiments, one or more interposer included in the i-level module 450 may include one or more carbon materials, such as graphite, for providing improved thermal conductivity in the X-Y plane.



FIG. 10 is a cross-sectional view of an IC packaging structure 500 according to some embodiments of the present disclosure. The IC packaging structure 500 may include a hybrid stacking assembly. The IC packaging structure 500 may include an ME element 502, and the ME element 502 may include a chip (or a “die”) or wafer having semiconductor integrated circuits that perform any type of functions, e.g. memory, logic, control, and/or other types. The ME element 502 may include a substrate with one or more vias 504. Vias 504 may be either blind vias or through substrate vias (TSVs) fabricated as discussed with regard to TSVs 104 in interposer substrate 102. The vias 504 may provide electrical connection between the circuits above, below, or within the ME element 502. The vias 504 may also provide thermal conduction to transfer heat away from such circuits.


Referring to FIG. 10, one or more connecting arrays 506 are formed on the ME element 502. The formation of the connecting arrays 506 may be substantially similar to that of the connecting arrays 210 as discussed in FIG. 6, for example, the connecting arrays 506 may be BVAs. The BVAs 506 may provide electrical connection between the ME element 502 and one or more other components in IC packaging structure 500. The BVAs 506 may also provide heat conduction away from the ME 502.


Various IC components are attached to the ME element 502 from above or below to form IC packaging structure 500. Thus, first level module 508 or some other type of module may be attached and electrically coupled to some BVAs 506. In some examples, an i-th level module 510 may be attached to the ME element 502, wherein i equals to 1, 2 . . . , or n. The i-th level module 510 may include a number i of ME elements stacked with one or more interposers as discussed with regard to the i-level module 450 in FIG. 9. In some examples, a single chip 512 may also be included in the IC packaging structure 500. The single chip 512 may include a semiconductor integrated circuit configured to perform one or more of a memory function, a logic function, a control function, or other processing function. The single chip 512 may be stacked on an i-level module 510 as shown in FIG. 10. The single chip 512 may also be stacked on any other component of the IC packaging structure 500. The attaching and stacking processes used to fabricate the IC packaging structure 500 may include any suitable packaging technologies. For example, solder balls, contact pads, underfills, and encapsulations may be used to connect and mold various components in the IC packaging structure 500. One or more RDLs may also be included in the IC packaging structure 500.


In a three-dimensional system in FIG. 10, the IC packaging structure 500 may be stacked along a Z direction, and the interposer and/or the ME element of each level may be disposed along an X-Y plane. The TSVs formed along the Z direction in the one or more ME elements and/or interposers may provide electrical conduction and/or thermal conduction along the Z direction in the IC packaging structure 500. The connecting arrays may also provide electrical conduction and/or thermal conduction along the Z direction in the IC packaging structure 500. In some embodiments, one or more interposers may include one or more carbon materials, such as graphite, for providing improved thermal conductivity in the X-Y plane in the IC packaging structure 500.



FIG. 11 is a flow chart illustrating a method 600 for IC packaging according to some embodiments of the present disclosure. It should be understood that method 600 in the flow chart FIG. 11 is merely exemplary and not meant to be limiting. Any additional processes may be provided before, during, and after the method 600.


The method 600 starts from a process 602 by forming one or more vias (e.g., the vias 104) in a first side (e.g., the first side 112) of a substrate (e.g., the interposer 102). The vias may be “blind vias” terminating inside the substrate. Alternatively, the vias may be through substrate vias (TSVs) extending through the interposer substrate from the first side to the second side (e.g., the second side 114) of the substrate. The vias may be formed by lithography and etching or laser drilling to form holes for the vias, followed by conductor filling of the holes, and back-side thinning of the substrate to reveal the conductor.


The method 600 proceeds to a process 604 of attaching a first side (e.g., the first side 124) of a first microelectronic element (ME) (e.g., the ME element 122) to the first side of the substrate. The vias formed in the substrate may be coupled to the first ME element. One or more contact elements, such as solder balls, may be used to connect the substrate and the first ME element. An underfill can be provided between the substrate and the first ME element, and an encapsulation may be formed by molding to encapsulate the first ME element and possibly the substrate.


The method 600 proceeds to an optional process 606 which thins the substrate from the second side of the substrate. In some embodiments, the buried ends of the “blind vias” may be exposed by the thinning process to form TSVs. The back-side thinning may include wet and/or dry etching (e.g., reactive ion etching RIE), and/or mechanical grinding or lapping or milling and/or chemical mechanical polishing (CMP).


The method 600 proceeds to a process 608 by obtaining a second ME element (e.g., the ME element 202), and the second ME element may include one or more vias (e.g., the vias 207). The vias in the second ME element may include TSVs. Alternatively, the vias in the second ME element may include “blind vias”.


The method 600 proceeds to a process 610 by attaching one or more connecting elements (e.g., connecting elements 208/connecting arrays 210) to a first side (e.g., the first side 204) of the second ME element. In some embodiments, each connecting element extends perpendicularly to the second ME element, between its first end (e.g., base) and a second end (e.g., tip). In some embodiments, the connecting element may include conductive materials to provide electrical conduction between the second ME element and the other components. In some embodiments, the connecting element may include carbon materials, such as graphite, for increasing thermal conductivity to transfer heat away from the second ME element. In some embodiments, the one or more connecting elements may be arranged to form connecting arrays. The connecting arrays may be formed at the peripheral areas to surround the first-level modules to be attached on the second ME element.


The method 600 proceeds to a process 612 by attaching the first side of the second ME element to the second side of the substrate. The connecting elements/connecting arrays may extend beyond a second side (e.g., the second side 126) of the first ME element.


The method 600 proceeds to an optional process 614 by performing a thinning process to the second ME element from a second side (e.g., the second side 206) of the second ME element. In some embodiments, the buried ends of the “blind vias” may be exposed after the thinning process to form TSVs in the second ME element. The back-side thinning process may include a wet etching process, a dry etching process (e.g., reactive ion etching RIE), and/or a chemical mechanical polishing (CMP) process.


The method 600 proceeds to a process 616 of attaching one or more third ME elements to the IC connecting elements. The one or more third ME elements may include a single chip, a first level module, and/or a multiple level module stacked together using any suitable packaging technologies. For example, the one or more third ME elements may be attached to the IC packaging structure using one or more contact pads (possibly with solder balls), and underfill.


The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims
  • 1. An assembly comprising: a first module comprising a plurality of microelectronic elements separated by an interposer; anda second module comprising: a first microelectronic element attached to a top side of the first module;one or more wire bond wires each of which is attached to a bottom side of the first microelectronic element of the second module and extends beyond a top of the first module, the one or more wire bond wires for electrical conduction or thermal conduction; anda third module comprising a second microelectronic element underlying the first module and attached to each of the one or more wire bond wires, the first module lying between the first microelectronic element of the second module and the second microelectronic element of the third module.
  • 2. The assembly of claim 1, wherein the first module is attached to the second microelectronic element of the third module.
  • 3. The assembly of claim 1, wherein the one or more wire bond wires are first one or more wire bond wires; and wherein the third module further comprises one or more second wire bond wires each having a first end attached to the second microelectronic element of the third module and each having a second end extending upward beyond the first module and a bottom surface of the first microelectronic element of the second module.
  • 4. An assembly comprising a plurality of modules, each module of the plurality of modules of the assembly comprising: an interposer comprising one or more first vias extending through a substrate of the interposer from a first side of the substrate to a second side of the substrate;a first microelectronic element having first contacts coupled to the first side of the substrate for electrical conductivity to at least one of the one or more first vias;a second microelectronic element coupled to the second side of the substrate through second contacts, the second contacts coupled to an upper surface of the second microelectronic element for electrical conductivity between at least one of the one or more first vias and at least one or more second vias of the second microelectronic element; andone or more wire bond wires each having a first end coupled to the upper surface of the second microelectronic element and having a second end opposite the first end corresponding thereto extending past an upper surface of the first microelectronic element, the one or more wire bond wires for electrical conduction or thermal;wherein the plurality of modules include a first module and a second module, wherein the second microelectronic element of the second module is attached to the second microelectronic element of the first module.
  • 5. The assembly of claim 4, wherein each of the plurality of modules further comprises: a third microelectronic element attached to the second end of at least one of the one or more wire bond wires associated with the first microelectronic element; anda forth microelectronic element attached to the second end of at least one of the one or more wire bond wires associated with the second microelectronic element.
US Referenced Citations (804)
Number Name Date Kind
2230663 Alden Feb 1941 A
3289452 Koellner Dec 1966 A
3358897 Christensen Dec 1967 A
3430835 Grable et al. Mar 1969 A
3623649 Keisling Nov 1971 A
3795037 Luttmer Mar 1974 A
3900153 Beerwerth et al. Aug 1975 A
4067104 Tracy Jan 1978 A
4072816 Gedney et al. Feb 1978 A
4213556 Persson et al. Jul 1980 A
4327860 Kirshenboin et al. May 1982 A
4422568 Elles et al. Dec 1983 A
4437604 Razon et al. Mar 1984 A
4604644 Beckham et al. Aug 1986 A
4642889 Grabbe Feb 1987 A
4667267 Hernandez et al. May 1987 A
4695870 Patraw Sep 1987 A
4716049 Patraw Dec 1987 A
4725692 Ishii et al. Feb 1988 A
4771930 Gillotti et al. Sep 1988 A
4793814 Zifcak et al. Dec 1988 A
4804132 DiFrancesco Feb 1989 A
4845354 Gupta et al. Jul 1989 A
4867267 Carlson Sep 1989 A
4902600 Tamagawa et al. Feb 1990 A
4924353 Patraw May 1990 A
4925083 Farassat et al. May 1990 A
4955523 Carlommagno et al. Sep 1990 A
4975079 Beaman et al. Dec 1990 A
4982265 Watanabe et al. Jan 1991 A
4998885 Beaman et al. Mar 1991 A
4999472 Neinast et al. Mar 1991 A
5067007 Otsuka et al. Nov 1991 A
5067382 Zimmerman et al. Nov 1991 A
5083697 DiFrancesco Jan 1992 A
5095187 Gliga Mar 1992 A
5133495 Angulas et al. Jul 1992 A
5138438 Masayuki et al. Aug 1992 A
5148265 Khandros et al. Sep 1992 A
5148266 Khandros et al. Sep 1992 A
5186381 Kim Feb 1993 A
5189505 Bartelink Feb 1993 A
5196726 Nishiguchi et al. Mar 1993 A
5203075 Angulas et al. Apr 1993 A
5214308 Nishiguchi et al. May 1993 A
5220489 Barreto et al. Jun 1993 A
5222014 Lin Jun 1993 A
5238173 Ura et al. Aug 1993 A
5241454 Ameen et al. Aug 1993 A
5241456 Marcinkiewicz et al. Aug 1993 A
5316788 Dibble et al. May 1994 A
5340771 Rostoker Aug 1994 A
5346118 Degani et al. Sep 1994 A
5371654 Beaman et al. Dec 1994 A
5397997 Tuckerman et al. Mar 1995 A
5438224 Papageorge et al. Aug 1995 A
5455390 DiStefano et al. Oct 1995 A
5468995 Higgins, III Nov 1995 A
5476211 Khandros Dec 1995 A
5494667 Uchida et al. Feb 1996 A
5495667 Farnworth et al. Mar 1996 A
5518964 DiStefano et al. May 1996 A
5531022 Beaman et al. Jul 1996 A
5536909 DiStefano et al. Jul 1996 A
5541567 Fogel et al. Jul 1996 A
5571428 Nishimura et al. Nov 1996 A
5578869 Hoffman et al. Nov 1996 A
5608265 Kitano et al. Mar 1997 A
5615824 Fjelstad et al. Apr 1997 A
5635846 Beaman et al. Jun 1997 A
5656550 Tsuji et al. Aug 1997 A
5659952 Kovac et al. Aug 1997 A
5679977 Khandros et al. Oct 1997 A
5688716 DiStefano et al. Nov 1997 A
5718361 Braun et al. Feb 1998 A
5726493 Yamashita et al. Mar 1998 A
5731709 Pastore et al. Mar 1998 A
5736780 Murayama Apr 1998 A
5736785 Chiang et al. Apr 1998 A
5766987 Mitchell et al. Jun 1998 A
5787581 DiStefano et al. Aug 1998 A
5801441 DiStefano et al. Sep 1998 A
5802699 Fjelstad et al. Sep 1998 A
5811982 Beaman et al. Sep 1998 A
5821763 Beaman et al. Oct 1998 A
5830389 Capote et al. Nov 1998 A
5831836 Long et al. Nov 1998 A
5839191 Economy et al. Nov 1998 A
5854507 Miremadi et al. Dec 1998 A
5874781 Fogal et al. Feb 1999 A
5898991 Fogel et al. May 1999 A
5908317 Heo Jun 1999 A
5912505 Itoh et al. Jun 1999 A
5948533 Gallagher et al. Sep 1999 A
5953624 Bando et al. Sep 1999 A
5971253 Gilleo et al. Oct 1999 A
5973391 Bischoff et al. Oct 1999 A
5977618 DiStefano et al. Nov 1999 A
5977640 Bertin et al. Nov 1999 A
5980270 Fjelstad et al. Nov 1999 A
5989936 Smith et al. Nov 1999 A
5994152 Khandros et al. Nov 1999 A
6000126 Pai Dec 1999 A
6002168 Bellaar et al. Dec 1999 A
6032359 Carroll Mar 2000 A
6038136 Weber Mar 2000 A
6052287 Palmer et al. Apr 2000 A
6054337 Solberg Apr 2000 A
6054756 DiStefano et al. Apr 2000 A
6077380 Hayes et al. Jun 2000 A
6117694 Smith et al. Sep 2000 A
6121676 Solberg Sep 2000 A
6124546 Hayward et al. Sep 2000 A
6133072 Fjelstad Oct 2000 A
6145733 Streckfuss et al. Nov 2000 A
6157080 Tamaki et al. Dec 2000 A
6158647 Chapman et al. Dec 2000 A
6164523 Fauty et al. Dec 2000 A
6177636 Fjelstad Jan 2001 B1
6180881 Isaak Jan 2001 B1
6188965 Malinovich et al. Jan 2001 B1
6194250 Melton et al. Feb 2001 B1
6194291 DiStefano et al. Feb 2001 B1
6202297 Faraci et al. Mar 2001 B1
6206273 Beaman et al. Mar 2001 B1
6208024 DiStefano Mar 2001 B1
6211572 Fjelstad et al. Apr 2001 B1
6211574 Tao et al. Apr 2001 B1
6215670 Khandros Apr 2001 B1
6218728 Kimura Apr 2001 B1
6225688 Kim et al. May 2001 B1
6238949 Nguyen et al. May 2001 B1
6258625 Brofman et al. Jul 2001 B1
6260264 Chen et al. Jul 2001 B1
6262482 Shiraishi et al. Jul 2001 B1
6268662 Test et al. Jul 2001 B1
6295729 Beaman et al. Oct 2001 B1
6300780 Beaman et al. Oct 2001 B1
6303997 Lee et al. Oct 2001 B1
6313528 Solberg Nov 2001 B1
6316838 Ozawa et al. Nov 2001 B1
6329224 Nguyen et al. Dec 2001 B1
6332270 Beaman et al. Dec 2001 B2
6334247 Beaman et al. Jan 2002 B1
6358627 Benenati et al. Mar 2002 B2
6362520 DiStefano Mar 2002 B2
6362525 Rahim Mar 2002 B1
6376769 Chung Apr 2002 B1
6388333 Taniguchi et al. May 2002 B1
6395199 Krassowski May 2002 B1
6399426 Capote et al. Jun 2002 B1
6407448 Chun Jun 2002 B2
6407456 Ball Jun 2002 B1
6410431 Bertin et al. Jun 2002 B2
6413850 Ooroku et al. Jul 2002 B1
6439450 Chapman et al. Aug 2002 B1
6458411 Goossen et al. Oct 2002 B1
6469260 Horiuchi et al. Oct 2002 B2
6469373 Funakura et al. Oct 2002 B2
6472743 Huang et al. Oct 2002 B2
6476503 Imamura et al. Nov 2002 B1
6476506 O'Connor Nov 2002 B1
6476583 McAndrews Nov 2002 B2
6486545 Glenn et al. Nov 2002 B1
6489182 Kwon Dec 2002 B2
6489676 Taniguchi et al. Dec 2002 B2
6495914 Sekine et al. Dec 2002 B1
6507104 Ho et al. Jan 2003 B2
6509639 Lin Jan 2003 B1
6514847 Ohsawa et al. Feb 2003 B1
6515355 Jiang et al. Feb 2003 B1
6522018 Tay et al. Feb 2003 B1
6550666 Chew et al. Feb 2003 B2
6526655 Beaman et al. Mar 2003 B2
6531784 Shim et al. Mar 2003 B1
6545228 Hashimoto Apr 2003 B2
6555918 Masuda et al. Apr 2003 B2
6560117 Moon May 2003 B2
6563205 Fogal et al. May 2003 B1
6563217 Corisis et al. May 2003 B2
6573458 Matsubara et al. Jun 2003 B1
6578754 Tung Jun 2003 B1
6581276 Chung Jun 2003 B2
6581283 Sugiura et al. Jun 2003 B2
6624653 Cram Sep 2003 B1
6630730 Grigg Oct 2003 B2
6639303 Siniaguine Oct 2003 B2
6647310 Yi et al. Nov 2003 B1
6650013 Yin et al. Nov 2003 B2
6653170 Lin Nov 2003 B1
6684007 Yoshimura et al. Jan 2004 B2
6686268 Farnworth et al. Feb 2004 B2
6687988 Sugiura et al. Feb 2004 B1
6693363 Tay et al. Feb 2004 B2
6696305 Kung et al. Feb 2004 B2
6699730 Kim et al. Mar 2004 B2
6708403 Beaman et al. Mar 2004 B2
6720783 Satoh et al. Apr 2004 B2
6730544 Yang May 2004 B1
6733711 Durocher et al. May 2004 B2
6734539 Degani et al. May 2004 B2
6734542 Nakatani et al. May 2004 B2
6740980 Hirose May 2004 B2
6740981 Hosomi May 2004 B2
6741085 Khandros et al. May 2004 B1
6746894 Fee et al. Jun 2004 B2
6754407 Chakravorty et al. Jun 2004 B2
6756252 Nakanishi Jun 2004 B2
6756663 Shiraishi et al. Jun 2004 B2
6759738 Fallon et al. Jul 2004 B1
6762078 Shin et al. Jul 2004 B2
6765287 Lin Jul 2004 B1
6774317 Fjelstad Aug 2004 B2
6774467 Horiuchi et al. Aug 2004 B2
6774473 Shen Aug 2004 B1
6774494 Arakawa Aug 2004 B2
6777787 Shibata Aug 2004 B2
6777797 Egawa Aug 2004 B2
6778406 Eldridge et al. Aug 2004 B2
6780746 Kinsman et al. Aug 2004 B2
6787926 Chen et al. Sep 2004 B2
6790757 Chittipeddi et al. Sep 2004 B1
6800941 Lee et al. Oct 2004 B2
6812575 Furusawa Nov 2004 B2
6815257 Yoon et al. Nov 2004 B2
6825552 Light et al. Nov 2004 B2
6828665 Pu et al. Dec 2004 B2
6828668 Smith et al. Dec 2004 B2
6844619 Tago Jan 2005 B2
6856235 Fjelstad Feb 2005 B2
6864166 Yin et al. Mar 2005 B1
6867499 Tabrizi Mar 2005 B1
6874910 Sugimoto et al. Apr 2005 B2
6897565 Pflughaupt et al. May 2005 B2
6900530 Tsai May 2005 B1
6902869 Appelt et al. Jun 2005 B2
6902950 Ma et al. Jun 2005 B2
6906408 Cloud et al. Jun 2005 B2
6908785 Kim Jun 2005 B2
6909181 Aiba et al. Jun 2005 B2
6917098 Yamunan Jul 2005 B1
6930256 Huemoeller et al. Aug 2005 B1
6933598 Kamezos Aug 2005 B2
6933608 Fujisawa Aug 2005 B2
6939723 Corisis et al. Sep 2005 B2
6946380 Takahashi Sep 2005 B2
6951773 Ho et al. Oct 2005 B2
6962282 Manansala Nov 2005 B2
6962864 Jeng et al. Nov 2005 B1
6977440 Pflughaupt et al. Dec 2005 B2
6979599 Silverbrook Dec 2005 B2
6987032 Fan et al. Jan 2006 B1
6989122 Pham et al. Jan 2006 B1
7009297 Chiang et al. Mar 2006 B1
7017794 Nosaka Mar 2006 B2
7021521 Sakurai et al. Apr 2006 B2
7045884 Standing May 2006 B2
7051915 Mutaguchi May 2006 B2
7052935 Pai et al. May 2006 B2
7053477 Kamezos et al. May 2006 B2
7053485 Bang et al. May 2006 B2
7061079 Weng et al. Jun 2006 B2
7061097 Yokoi Jun 2006 B2
7067911 Lin et al. Jun 2006 B1
7071028 Koike et al. Jul 2006 B2
7071547 Kang et al. Jul 2006 B2
7071573 Lin Jul 2006 B1
7078788 Vu et al. Jul 2006 B2
7078822 Dias et al. Jul 2006 B2
7095105 Cherukuri et al. Aug 2006 B2
7112520 Lee et al. Sep 2006 B2
7115986 Moon et al. Oct 2006 B2
7119427 Kim Oct 2006 B2
7121891 Cherian Oct 2006 B2
7138722 Miyamoto et al. Nov 2006 B2
7170185 Hogerton et al. Jan 2007 B1
7176043 Haba et al. Feb 2007 B2
7176506 Beroz et al. Feb 2007 B2
7176559 Ho et al. Feb 2007 B2
7185426 Hiner et al. Mar 2007 B1
7187072 Fukitomi et al. Mar 2007 B2
7190061 Lee Mar 2007 B2
7198980 Jiang et al. Apr 2007 B2
7198987 Warren et al. Apr 2007 B1
7205670 Oyama Apr 2007 B2
7215033 Lee et al. May 2007 B2
7216794 Lange et al. May 2007 B2
7225538 Eldridge et al. Jun 2007 B2
7227095 Roberts et al. Jun 2007 B2
7229906 Babinetz et al. Jun 2007 B2
7233057 Hussa Jun 2007 B2
7242081 Lee Jul 2007 B1
7246431 Bang et al. Jul 2007 B2
7256069 Akram et al. Aug 2007 B2
7259445 Lau et al. Aug 2007 B2
7262124 Fujisawa Aug 2007 B2
7262506 Mess et al. Aug 2007 B2
7268421 Lin Sep 2007 B1
7276785 Bauer et al. Oct 2007 B2
7276799 Lee et al. Oct 2007 B2
7287322 Mahieu et al. Oct 2007 B2
7290448 Shirasaka et al. Nov 2007 B2
7294920 Chen et al. Nov 2007 B2
7294928 Bang et al. Nov 2007 B2
7298033 Yoo Nov 2007 B2
7301770 Campbell et al. Nov 2007 B2
7307348 Wood et al. Dec 2007 B2
7321164 Hsu Jan 2008 B2
7323767 James et al. Jan 2008 B2
7327038 Kwon et al. Feb 2008 B2
7342803 Inagaki et al. Mar 2008 B2
7344917 Gautham Mar 2008 B2
7345361 Malik et al. Mar 2008 B2
7355289 Hess et al. Apr 2008 B2
7365416 Kawabata et al. Apr 2008 B2
7368924 Beaman et al. May 2008 B2
7371676 Hembree May 2008 B2
7372151 Fan et al. May 2008 B1
7378726 Punzalan et al. May 2008 B2
7390700 Gerber et al. Jun 2008 B2
7391105 Yeom Jun 2008 B2
7391121 Otremba Jun 2008 B2
7416107 Chapman et al. Aug 2008 B2
7425758 Corisis et al. Sep 2008 B2
7453157 Haba et al. Nov 2008 B2
7456091 Kuraya et al. Nov 2008 B2
7456495 Pohl et al. Nov 2008 B2
7462936 Haba et al. Dec 2008 B2
7476608 Craig et al. Jan 2009 B2
7476962 Kim Jan 2009 B2
7485562 Chua et al. Feb 2009 B2
7485969 Corisis et al. Feb 2009 B2
7495179 Kubota et al. Feb 2009 B2
7495342 Beaman et al. Feb 2009 B2
7495644 Hirakata Feb 2009 B2
7504284 Ye et al. Mar 2009 B2
7504716 Abbott Mar 2009 B2
7517733 Camacho et al. Apr 2009 B2
7527505 Murata May 2009 B2
7528474 Lee May 2009 B2
7535090 Furuyama et al. May 2009 B2
7537962 Jang et al. May 2009 B2
7538565 Beaman et al. May 2009 B1
7550836 Chou et al. Jun 2009 B2
7560360 Cheng et al. Jul 2009 B2
7564116 Ahn et al. Jul 2009 B2
7576415 Cha et al. Aug 2009 B2
7576439 Craig et al. Aug 2009 B2
7578422 Lange et al. Aug 2009 B2
7582963 Gerber et al. Sep 2009 B2
7589394 Kawano Sep 2009 B2
7592638 Kim Sep 2009 B2
7595548 Shirasaka et al. Sep 2009 B2
7605479 Mohammed Oct 2009 B2
7612638 Chung et al. Nov 2009 B2
7621436 Mii et al. Nov 2009 B2
7625781 Beer Dec 2009 B2
7629695 Yoshimura et al. Dec 2009 B2
7633154 Dai et al. Dec 2009 B2
7633765 Scanlan et al. Dec 2009 B1
7642133 Wu et al. Jan 2010 B2
7646102 Boon Jan 2010 B2
7659612 Hembree et al. Feb 2010 B2
7659617 Kang et al. Feb 2010 B2
7670940 Mizukoshi et al. Mar 2010 B2
7671457 Hiner et al. Mar 2010 B1
7671459 Corisis et al. Mar 2010 B2
7675152 Gerber et al. Mar 2010 B2
7677429 Chapman et al. Mar 2010 B2
7682960 Wen Mar 2010 B2
7682962 Hembree Mar 2010 B2
7683460 Heitzer et al. Mar 2010 B2
7683482 Nishida et al. Mar 2010 B2
7692931 Chong et al. Apr 2010 B2
7696631 Beaulieu et al. Apr 2010 B2
7706144 Lynch Apr 2010 B2
7709968 Damberg et al. May 2010 B2
7719122 Tsao et al. May 2010 B2
7723839 Yano et al. May 2010 B2
7728443 Hembree Jun 2010 B2
7737545 Fjelstad et al. Jun 2010 B2
7750483 Lin et al. Jul 2010 B1
7757385 Hembree Jul 2010 B2
7759782 Haba et al. Jul 2010 B2
7777238 Nishida et al. Aug 2010 B2
7777328 Enomoto Aug 2010 B2
7777351 Berry et al. Aug 2010 B1
7780064 Wong et al. Aug 2010 B2
7781877 Jiang et al. Aug 2010 B2
7795717 Goller Sep 2010 B2
7800233 Kawano et al. Sep 2010 B2
7807512 Lee et al. Oct 2010 B2
7808093 Kagaya et al. Oct 2010 B2
7808439 Yang et al. Oct 2010 B2
7815323 Saeki Oct 2010 B2
7834464 Meyer et al. Nov 2010 B2
7838334 Yu et al. Nov 2010 B2
7842541 Rusli et al. Nov 2010 B1
7850087 Hwang et al. Dec 2010 B2
7851259 Kim Dec 2010 B2
7855462 Boon et al. Dec 2010 B2
7855464 Shikano Dec 2010 B2
7857190 Takahashi et al. Dec 2010 B2
7859033 Brady Dec 2010 B2
7872335 Khan et al. Jan 2011 B2
7876180 Uchimura Jan 2011 B2
7880290 Park Feb 2011 B2
7892889 Howard et al. Feb 2011 B2
7898083 Castro Mar 2011 B2
7901989 Haba et al. Mar 2011 B2
7902644 Huang et al. Mar 2011 B2
7902652 Seo et al. Mar 2011 B2
7910385 Kweon et al. Mar 2011 B2
7911805 Haba Mar 2011 B2
7919846 Hembree Apr 2011 B2
7919871 Moon et al. Apr 2011 B2
7923295 Shim et al. Apr 2011 B2
7923304 Choi et al. Apr 2011 B2
7928552 Cho et al. Apr 2011 B1
7932170 Huemoeller et al. Apr 2011 B1
7934313 Lin et al. May 2011 B1
7939934 Haba et al. May 2011 B2
7944034 Gerber et al. May 2011 B2
7956456 Gurrum et al. Jun 2011 B2
7960843 Hedler et al. Jun 2011 B2
7964956 Bet-Shliemoun Jun 2011 B1
7967062 Campbell et al. Jun 2011 B2
7974099 Grajcar Jul 2011 B2
7977597 Roberts et al. Jul 2011 B2
7990711 Andry et al. Aug 2011 B1
7994622 Mohammed et al. Aug 2011 B2
8004074 Mori et al. Aug 2011 B2
8004093 Oh et al. Aug 2011 B2
8012797 Shen et al. Sep 2011 B2
8017437 Yoo et al. Sep 2011 B2
8017452 Ishihara et al. Sep 2011 B2
8018033 Moriya Sep 2011 B2
8018065 Lam Sep 2011 B2
8020290 Sheats Sep 2011 B2
8021907 Pagaila et al. Sep 2011 B2
8035213 Lee et al. Oct 2011 B2
8039316 Chi et al. Oct 2011 B2
8039960 Lin Oct 2011 B2
8039970 Yamamori et al. Oct 2011 B2
8048479 Hedler et al. Nov 2011 B2
8053814 Chen et al. Nov 2011 B2
8053879 Lee et al. Nov 2011 B2
8053906 Chang et al. Nov 2011 B2
8058101 Haba et al. Nov 2011 B2
8063475 Choi et al. Nov 2011 B2
8071424 Kang et al. Dec 2011 B2
8071431 Hoang et al. Dec 2011 B2
8071470 Khor et al. Dec 2011 B2
8076765 Chen et al. Dec 2011 B2
8076770 Kagaya et al. Dec 2011 B2
8080445 Pagaila Dec 2011 B1
8084867 Tang et al. Dec 2011 B2
8092734 Jiang et al. Jan 2012 B2
8093697 Haba et al. Jan 2012 B2
8106498 Shin et al. Jan 2012 B2
8115283 Bolognia et al. Feb 2012 B1
8119516 Endo Feb 2012 B2
8120054 Seo et al. Feb 2012 B2
8120186 Yoon Feb 2012 B2
8138584 Wang et al. Mar 2012 B2
8143141 Sun et al. Mar 2012 B2
8143710 Cho Mar 2012 B2
8158888 Shen et al. Apr 2012 B2
8169065 Kohl et al. May 2012 B2
8174119 Pendse May 2012 B2
8183682 Groenhuis et al. May 2012 B2
8183684 Nakazato May 2012 B2
8193034 Pagaila et al. Jun 2012 B2
8194411 Leung et al. Jun 2012 B2
8198716 Periaman et al. Jun 2012 B2
8207604 Haba et al. Jun 2012 B2
8213184 Knickerbocker Jul 2012 B2
8217502 Ko Jul 2012 B2
8225982 Pirkle et al. Jul 2012 B2
8232141 Choi et al. Jul 2012 B2
8237257 Yang Aug 2012 B2
8258010 Pagaila et al. Sep 2012 B2
8258015 Chow et al. Sep 2012 B2
8263435 Choi et al. Sep 2012 B2
8264091 Cho et al. Sep 2012 B2
8269335 Osumi Sep 2012 B2
8278746 Ding et al. Oct 2012 B2
8288854 Weng et al. Oct 2012 B2
8293580 Kim et al. Oct 2012 B2
8299368 Endo Oct 2012 B2
8304900 Jang et al. Nov 2012 B2
8314492 Egawa Nov 2012 B2
8315060 Morikita et al. Nov 2012 B2
8318539 Cho et al. Nov 2012 B2
8319338 Berry et al. Nov 2012 B1
8324633 McKenzie et al. Dec 2012 B2
8330272 Haba Dec 2012 B2
8349735 Pagaila et al. Jan 2013 B2
8354297 Pagaila et al. Jan 2013 B2
8362620 Pagani Jan 2013 B2
8372741 Co Feb 2013 B1
8390108 Cho et al. Mar 2013 B2
8390117 Shimizu et al. Mar 2013 B2
8395259 Eun Mar 2013 B2
8399972 Hoang et al. Mar 2013 B2
8404520 Chau et al. Mar 2013 B1
8409922 Camacho et al. Apr 2013 B2
8415704 Ivanov et al. Apr 2013 B2
8419442 Horikawa et al. Apr 2013 B2
8435899 Miyata et al. May 2013 B2
8450839 Corisis et al. May 2013 B2
8476115 Choi et al. Jul 2013 B2
8476770 Shao et al. Jul 2013 B2
8482111 Haba Jul 2013 B2
8487421 Sato et al. Jul 2013 B2
8492201 Pagaila et al. Jul 2013 B2
8502387 Choi et al. Aug 2013 B2
8507297 Iida et al. Aug 2013 B2
8508045 Khan et al. Aug 2013 B2
8518746 Pagaila et al. Aug 2013 B2
8525214 Lin et al. Sep 2013 B2
8525314 Haba et al. Sep 2013 B2
8525318 Kim et al. Sep 2013 B1
8552556 Kim et al. Oct 2013 B1
8558379 Kwon Oct 2013 B2
8558392 Chua et al. Oct 2013 B2
8564141 Lee et al. Oct 2013 B2
8567051 Val Oct 2013 B2
8569892 Mori et al. Oct 2013 B2
8580607 Haba Nov 2013 B2
8598717 Masuda Dec 2013 B2
8618646 Sasaki et al. Dec 2013 B2
8618659 Sato Dec 2013 B2
8624374 Ding et al. Jan 2014 B2
8633059 Do et al. Jan 2014 B2
8637991 Haba Jan 2014 B2
8646508 Kawada Feb 2014 B2
8653626 Lo et al. Feb 2014 B2
8653668 Uno et al. Feb 2014 B2
8653676 Kim et al. Feb 2014 B2
8659164 Haba Feb 2014 B2
8664780 Han et al. Mar 2014 B2
8669646 Tabatabai et al. Mar 2014 B2
8670261 Crisp et al. Mar 2014 B2
8680662 Haba et al. Mar 2014 B2
8680677 Wyland Mar 2014 B2
8680684 Haba et al. Mar 2014 B2
8685792 Chow et al. Apr 2014 B2
8697492 Haba et al. Apr 2014 B2
8723307 Jiang et al. May 2014 B2
8728865 Haba et al. May 2014 B2
8729714 Meyer May 2014 B1
8742576 Thacker et al. Jun 2014 B2
8742597 Nickerson Jun 2014 B2
8766436 Delucca et al. Jul 2014 B2
8772152 Co et al. Jul 2014 B2
8772817 Yao Jul 2014 B2
8785245 Kim Jul 2014 B2
8791575 Oganesian et al. Jul 2014 B2
8791580 Park et al. Jul 2014 B2
8796135 Oganesian et al. Aug 2014 B2
8796846 Lin et al. Aug 2014 B2
8810031 Chang et al. Aug 2014 B2
8811055 Yoon Aug 2014 B2
8816505 Mohammed et al. Aug 2014 B2
8835228 Mohammed Sep 2014 B2
8836136 Chau et al. Sep 2014 B2
8836140 Ma et al. Sep 2014 B2
8836147 Uno et al. Sep 2014 B2
8841765 Haba et al. Sep 2014 B2
8846521 Sugizaki Sep 2014 B2
8847376 Oganesian et al. Sep 2014 B2
8853558 Gupta et al. Oct 2014 B2
8878353 Haba et al. Nov 2014 B2
8884416 Lee et al. Nov 2014 B2
8893380 Kim et al. Nov 2014 B2
8907466 Haba Dec 2014 B2
8907500 Haba et al. Dec 2014 B2
8912651 Yu et al. Dec 2014 B2
8916781 Haba et al. Dec 2014 B2
8922005 Hu et al. Dec 2014 B2
8923004 Low et al. Dec 2014 B2
8927337 Haba et al. Jan 2015 B2
8937309 England et al. Jan 2015 B2
8940630 Damberg et al. Jan 2015 B2
8940636 Pagaila et al. Jan 2015 B2
8946757 Mohammed et al. Feb 2015 B2
8948712 Chen et al. Feb 2015 B2
8963339 He et al. Feb 2015 B2
8970049 Karnezos Mar 2015 B2
8975726 Chen Mar 2015 B2
8978247 Yang et al. Mar 2015 B2
8981559 Hsu et al. Mar 2015 B2
8987132 Gruber et al. Mar 2015 B2
8988895 Mohammed et al. Mar 2015 B2
8993376 Camacho et al. Mar 2015 B2
9006031 Camacho et al. Apr 2015 B2
9012263 Mathew et al. Apr 2015 B1
9041227 Chau et al. May 2015 B2
9054095 Pagaila Jun 2015 B2
9082763 Yu et al. Jul 2015 B2
9093435 Sato et al. Jul 2015 B2
9095074 Haba et al. Jul 2015 B2
9105483 Chau et al. Aug 2015 B2
9105552 Yu et al. Aug 2015 B2
9117811 Zohni Aug 2015 B2
9123664 Haba Sep 2015 B2
9128123 Liu et al. Sep 2015 B2
9136254 Zhao et al. Sep 2015 B2
9142586 Wang et al. Sep 2015 B2
9153562 Haba et al. Oct 2015 B2
9167710 Mohammed et al. Oct 2015 B2
9171790 Yu et al. Oct 2015 B2
9177832 Camacho Nov 2015 B2
9196586 Chen et al. Nov 2015 B2
9196588 Leal Nov 2015 B2
9209081 Lim et al. Dec 2015 B2
9214434 Kim et al. Dec 2015 B1
9224647 Koo et al. Dec 2015 B2
9224717 Sato et al. Dec 2015 B2
9258922 Chen et al. Feb 2016 B2
9263394 Uzoh et al. Feb 2016 B2
9263413 Mohammed Feb 2016 B2
9299670 Yap et al. Mar 2016 B2
9318449 Hasch et al. Apr 2016 B2
9318452 Chen et al. Apr 2016 B2
9324696 Choi et al. Apr 2016 B2
9330945 Song et al. May 2016 B2
9349706 Co et al. May 2016 B2
9362161 Chi et al. Jun 2016 B2
9378982 Lin et al. Jun 2016 B2
9379074 Uzoh et al. Jun 2016 B2
9379078 Yu et al. Jun 2016 B2
9401338 Magnus et al. Jul 2016 B2
9418940 Hoshino et al. Aug 2016 B2
9418971 Chen et al. Aug 2016 B2
9437459 Carpenter et al. Sep 2016 B2
9443797 Marimuthu et al. Sep 2016 B2
9449941 Tsai et al. Sep 2016 B2
9461025 Yu et al. Oct 2016 B2
9496152 Cho et al. Nov 2016 B2
9502390 Caskey et al. Nov 2016 B2
9508622 Higgins Nov 2016 B2
9559088 Gonzalez et al. Jan 2017 B2
9570382 Haba Feb 2017 B2
9583456 Uzoh et al. Feb 2017 B2
9601454 Zhao et al. Mar 2017 B2
20010042925 Yamamoto et al. Nov 2001 A1
20020014004 Beaman et al. Feb 2002 A1
20020125556 Oh et al. Sep 2002 A1
20020171152 Miyazaki Nov 2002 A1
20030006494 Lee et al. Jan 2003 A1
20030048108 Beaman et al. Mar 2003 A1
20030057544 Nathan et al. Mar 2003 A1
20030094666 Clayton et al. May 2003 A1
20030162378 Mikami Aug 2003 A1
20040041757 Yang et al. Mar 2004 A1
20040262728 Sterrett et al. Dec 2004 A1
20050017369 Clayton et al. Jan 2005 A1
20050062492 Beaman et al. Mar 2005 A1
20050082664 Funaba et al. Apr 2005 A1
20050095835 Humpston et al. May 2005 A1
20050161814 Mizukoshi et al. Jul 2005 A1
20050173807 Zhu et al. Aug 2005 A1
20050176233 Joshi et al. Aug 2005 A1
20060087013 Hsieh Apr 2006 A1
20060216868 Yang et al. Sep 2006 A1
20060255449 Lee et al. Nov 2006 A1
20070010086 Hsieh Jan 2007 A1
20070080360 Mirsky et al. Apr 2007 A1
20070164457 Yamaguchi et al. Jul 2007 A1
20070190747 Hup Aug 2007 A1
20070254406 Lee Nov 2007 A1
20070271781 Beaman et al. Nov 2007 A9
20070290325 Wu et al. Dec 2007 A1
20080006942 Park et al. Jan 2008 A1
20080017968 Choi et al. Jan 2008 A1
20080023805 Howard et al. Jan 2008 A1
20080042265 Merilo et al. Feb 2008 A1
20080047741 Beaman et al. Feb 2008 A1
20080048690 Beaman et al. Feb 2008 A1
20080048691 Beaman et al. Feb 2008 A1
20080048697 Beaman et al. Feb 2008 A1
20080054434 Kim Mar 2008 A1
20080073769 Wu et al. Mar 2008 A1
20080100316 Beaman et al. May 2008 A1
20080100317 Beaman et al. May 2008 A1
20080100318 Beaman et al. May 2008 A1
20080100324 Beaman et al. May 2008 A1
20080105984 Lee et al. May 2008 A1
20080106281 Beaman et al. May 2008 A1
20080106282 Beaman et al. May 2008 A1
20080106283 Beaman et al. May 2008 A1
20080106284 Beaman et al. May 2008 A1
20080106285 Beaman et al. May 2008 A1
20080106291 Beaman et al. May 2008 A1
20080106872 Beaman et al. May 2008 A1
20080111568 Beaman et al. May 2008 A1
20080111569 Beaman et al. May 2008 A1
20080111570 Beaman et al. May 2008 A1
20080112144 Beaman et al. May 2008 A1
20080112145 Beaman et al. May 2008 A1
20080112146 Beaman et al. May 2008 A1
20080112147 Beaman et al. May 2008 A1
20080112148 Beaman et al. May 2008 A1
20080112149 Beaman et al. May 2008 A1
20080116912 Beaman et al. May 2008 A1
20080116913 Beaman et al. May 2008 A1
20080116914 Beaman et al. May 2008 A1
20080116915 Beaman et al. May 2008 A1
20080116916 Beaman et al. May 2008 A1
20080117611 Beaman et al. May 2008 A1
20080117612 Beaman et al. May 2008 A1
20080117613 Beaman et al. May 2008 A1
20080121879 Beaman et al. May 2008 A1
20080123310 Beaman et al. May 2008 A1
20080129319 Beaman et al. Jun 2008 A1
20080129320 Beaman et al. Jun 2008 A1
20080132094 Beaman et al. Jun 2008 A1
20080156518 Honer et al. Jul 2008 A1
20080164595 Wu et al. Jul 2008 A1
20080169548 Baek Jul 2008 A1
20080217708 Reisner et al. Sep 2008 A1
20080246126 Bowles et al. Oct 2008 A1
20080280393 Lee et al. Nov 2008 A1
20080284045 Gerber et al. Nov 2008 A1
20080303153 Oi et al. Dec 2008 A1
20080308305 Kawabe Dec 2008 A1
20090008796 Eng et al. Jan 2009 A1
20090014876 Youn et al. Jan 2009 A1
20090032913 Haba Feb 2009 A1
20090085185 Byun et al. Apr 2009 A1
20090085193 Cho Apr 2009 A1
20090091009 Corisis et al. Apr 2009 A1
20090102063 Lee et al. Apr 2009 A1
20090127686 Yang et al. May 2009 A1
20090128176 Beaman et al. May 2009 A1
20090140415 Furuta Jun 2009 A1
20090166664 Park et al. Jul 2009 A1
20090166873 Yang et al. Jul 2009 A1
20090189288 Beaman et al. Jul 2009 A1
20090194829 Chung et al. Aug 2009 A1
20090256229 Ishikawa et al. Oct 2009 A1
20090315579 Beaman et al. Dec 2009 A1
20100032822 Liao et al. Feb 2010 A1
20100044860 Haba et al. Feb 2010 A1
20100078795 Dekker et al. Apr 2010 A1
20100193937 Nagamatsu et al. Aug 2010 A1
20100200981 Huang et al. Aug 2010 A1
20100232119 Schmidt et al. Sep 2010 A1
20100258955 Miyagawa et al. Oct 2010 A1
20100289142 Shim et al. Nov 2010 A1
20100314748 Hsu et al. Dec 2010 A1
20100327419 Muthukumar et al. Dec 2010 A1
20110042699 Park et al. Feb 2011 A1
20110068478 Pagaila et al. Mar 2011 A1
20110101512 Choi May 2011 A1
20110157834 Wang Jun 2011 A1
20110209908 Lin et al. Sep 2011 A1
20110215472 Chandrasekaran Sep 2011 A1
20120001336 Zeng et al. Jan 2012 A1
20120043655 Khor et al. Feb 2012 A1
20120063090 Hsiao et al. Mar 2012 A1
20120080787 Shah et al. Apr 2012 A1
20120086111 Iwamoto et al. Apr 2012 A1
20120126431 Kim et al. May 2012 A1
20120153444 Haga et al. Jun 2012 A1
20120184116 Pawlikowski et al. Jul 2012 A1
20120280386 Sato Nov 2012 A1
20130001797 Choi et al. Jan 2013 A1
20130040423 Tung Feb 2013 A1
20130049218 Gong et al. Feb 2013 A1
20130069239 Kim Mar 2013 A1
20130087915 Warren et al. Apr 2013 A1
20130109135 Lee May 2013 A1
20130153646 Ho Jun 2013 A1
20130187292 Semmelmeyer Jul 2013 A1
20130200524 Han et al. Aug 2013 A1
20130234317 Chen et al. Sep 2013 A1
20130256847 Park et al. Oct 2013 A1
20130323409 Read et al. Dec 2013 A1
20130328178 Bakalski et al. Dec 2013 A1
20140035892 Shenoy et al. Feb 2014 A1
20140036454 Caskey et al. Feb 2014 A1
20140103527 Marimuthu et al. Apr 2014 A1
20140124949 Paek et al. May 2014 A1
20140138816 Lu et al. May 2014 A1
20140175657 Oka et al. Jun 2014 A1
20140225248 Henderson et al. Aug 2014 A1
20140239479 Start Aug 2014 A1
20140239490 Wang Aug 2014 A1
20140308907 Chen Oct 2014 A1
20140312503 Seo Oct 2014 A1
20150044823 Mohammed Feb 2015 A1
20150076714 Haba et al. Mar 2015 A1
20150206865 Yu et al. Jul 2015 A1
20150340305 Lo Nov 2015 A1
20150380376 Mathew et al. Dec 2015 A1
20160043813 Chen et al. Feb 2016 A1
20160172268 Katkar et al. Jun 2016 A1
20160200566 Ofner et al. Jul 2016 A1
20160225692 Kim et al. Aug 2016 A1
20170117231 Awujoola et al. Apr 2017 A1
20170229432 Lin et al. Oct 2017 A1
Foreign Referenced Citations (143)
Number Date Country
1352804 Jun 2002 CN
1641832 Jul 2005 CN
1877824 Dec 2006 CN
101409241 Apr 2009 CN
101449375 Jun 2009 CN
101675516 Mar 2010 CN
101819959 Sep 2010 CN
102324418 Jan 2012 CN
10 2009 001 461 Sep 2010 DE
10 2009 001461 Sep 2010 DE
920058 Jun 1999 EP
1449414 Aug 2004 EP
2234158 Sep 2010 EP
S51-050661 May 1976 JP
59189069 Oct 1984 JP
61125062 Jun 1986 JP
S62158338 Jul 1987 JP
62-226307 Oct 1987 JP
1012769 Jan 1989 JP
64-71162 Mar 1989 JP
1118364 May 1989 JP
H04-346436 Dec 1992 JP
06268015 Sep 1994 JP
H06268101 Sep 1994 JP
H06333931 Dec 1994 JP
07-122787 May 1995 JP
09505439 May 1997 JP
H1065054 Mar 1998 JP
H10135220 May 1998 JP
H10135221 May 1998 JP
11-074295 Mar 1999 JP
11135663 May 1999 JP
H11-145323 May 1999 JP
11251350 Sep 1999 JP
H11260856 Sep 1999 JP
11317476 Nov 1999 JP
2000156461 Jun 2000 JP
2000323516 Nov 2000 JP
3157134 Apr 2001 JP
2001196407 Jul 2001 JP
2001326236 Nov 2001 JP
2002050871 Feb 2002 JP
2002289769 Oct 2002 JP
2003122611 Apr 2003 JP
2003-174124 Jun 2003 JP
2003197668 Jul 2003 JP
2003307897 Oct 2003 JP
2003318327 Nov 2003 JP
2004031754 Jan 2004 JP
2004047702 Feb 2004 JP
2004048048 Feb 2004 JP
2004-172157 Jun 2004 JP
2004-200316 Jul 2004 JP
2004281514 Oct 2004 JP
2004-319892 Nov 2004 JP
2004327855 Nov 2004 JP
2004327856 Nov 2004 JP
2004343030 Dec 2004 JP
2005011874 Jan 2005 JP
2005033141 Feb 2005 JP
2005093551 Apr 2005 JP
2005142378 Jun 2005 JP
2005175019 Jun 2005 JP
2005183880 Jul 2005 JP
2005183923 Jul 2005 JP
2005203497 Jul 2005 JP
2005302765 Oct 2005 JP
2006108588 Apr 2006 JP
2006186086 Jul 2006 JP
2007123595 May 2007 JP
2007-208159 Aug 2007 JP
2007194436 Aug 2007 JP
2007234845 Sep 2007 JP
2007287922 Nov 2007 JP
2007-335464 Dec 2007 JP
200834534 Feb 2008 JP
2008166439 Jul 2008 JP
2008171938 Jul 2008 JP
2008235378 Oct 2008 JP
2008251794 Oct 2008 JP
2008277362 Nov 2008 JP
2008306128 Dec 2008 JP
2009004650 Jan 2009 JP
2009044110 Feb 2009 JP
2009506553 Feb 2009 JP
2009508324 Feb 2009 JP
2009064966 Mar 2009 JP
2009088254 Apr 2009 JP
2009111384 May 2009 JP
2009528706 Aug 2009 JP
2009260132 Nov 2009 JP
2010103129 May 2010 JP
2010135671 Jun 2010 JP
2010192928 Sep 2010 JP
2010199528 Sep 2010 JP
2010206007 Sep 2010 JP
2011514015 Apr 2011 JP
2011166051 Aug 2011 JP
100265563 Sep 2000 KR
20010061849 Jul 2001 KR
2001-0094894 Nov 2001 KR
20020058216 Jul 2002 KR
20060064291 Jun 2006 KR
10-2007-0058680 Jun 2007 KR
20080020069 Mar 2008 KR
100865125 Oct 2008 KR
20080094251 Oct 2008 KR
100886100 Feb 2009 KR
20090033605 Apr 2009 KR
20090123680 Dec 2009 KR
20100033012 Mar 2010 KR
20100062315 Jun 2010 KR
101011863 Jan 2011 KR
20120075855 Jul 2012 KR
101215271 Dec 2012 KR
20130048810 May 2013 KR
20150012285 Feb 2015 KR
200539406 Dec 2005 TW
200721327 Jun 2007 TW
200810079 Feb 2008 TW
200849551 Dec 2008 TW
200933760 Aug 2009 TW
201023277 Jun 2010 TW
201250979 Dec 2012 TW
I605558 Nov 2017 TW
9615458 May 1996 WO
02-13256 Feb 2002 WO
03-045123 May 2003 WO
2004077525 Sep 2004 WO
2006050691 May 2006 WO
2007101251 Sep 2007 WO
2007116544 Oct 2007 WO
2008065896 Jun 2008 WO
2008120755 Oct 2008 WO
2009096950 Aug 2009 WO
2009158098 Dec 2009 WO
2010014103 Feb 2010 WO
2010041630 Apr 2010 WO
2010101163 Sep 2010 WO
2012067177 May 2012 WO
2013059181 Apr 2013 WO
2013065895 May 2013 WO
2014107301 Jul 2014 WO
Non-Patent Literature Citations (73)
Entry
International Search Report and Written Opinion in corresponding International Application No. PCT/US2015/032679, dated Nov. 11, 2015.
Invitation to Pay Additional Fees and, Where Applicable, Protest Fee, and includes Annex to Form PCT/ISA/206 Communication Relating to the Results of the Partial International Search issued by the European Patent Office for Int'l Patent Application No. PCT/US2015/032679 (dated Sep. 4, 2015) pp. 1-5.
International Search Report and Written Opinion for Appln. No. PCT/US2013/041981, dated Nov. 13, 2013.
International Search Report and Written Opinion for Appln. No. PCT/US2013/053437, dated Nov. 25, 2013.
International Search Report and Written Opinion for Appln. No. PCT/US2013/075672, dated Apr. 22, 2014.
International Search Report and Written Opinion for Appln. No. PCT/US2014/014181, dated Jun. 13, 2014.
International Search Report and Written Opinion for Appln. No. PCT/US2014/050125, dated Feb. 4, 2015.
International Search Report and Written Opinion for Appln. No. PCT/US2014/050148, dated Feb. 9, 2015.
International Search Report and Written Opinion for Appln. No. PCT/US2014/055695, dated Mar. 20, 2015.
International Search Report and Written Opinion for Appln. No. PCT/US2015/011715, dated Apr. 20, 2015.
International Preliminary Report on Patentability for Appln. No. PCT/US2014/055695, dated Dec. 15, 2015.
International Search Report and Written Opinion for Appln. No. PCT/US2016/056402, dated Jan. 31, 2017.
Japanese Office Action for Appln. No. 2013-509325, dated Oct. 18, 2013.
Japanese Office Action for Appln. No. 2013-520776, dated Apr. 21, 2015.
Japanese Office Action for Appln. No. 2013-520777, dated May 22, 2015.
Jin, Yonggang et al., “STM 3D-IC Package and 3D eWLB Development,” STMicroelectronics Singapore/STMicroelectronics France, May 21, 2010, 28 pages.
Kim et al., “Application of Through Mold Via (TMV) as PoP Base Package,” 2008, 6 pages.
Korean Office Action for Appn. 10-2011-0041843, dated Jun. 20, 2011.
Korean Office Action for Appn. 2014-7025992, dated Feb. 5, 2015.
Korean Search Report KR10-2010-0113271, dated Jan. 12, 2011.
Korean Search Report KR10-2011-0041843, dated Feb. 24, 2011.
Meiser, S., “Klein Und Komplex,” Elektronik Irl Press Ltd, DE, vol. 41, No. 1, Jan. 7, 1992 (Jan. 7, 1992) pp. 72-77, XP000277326, [ISR Appln. No. PCT/US2012/060402, dated Feb. 21, 2013 provides concise stmt. of relevance).
Neo-Manhattan Technology, A Novel HDI Manufacturing Process, “High-Density Interconnects for Advanced Flex Substrates and 3-D Package Stacking,” IPC Flex & Chips Symposium, Tempe, AZ, Feb. 11-12, 2003, 34 pages.
North Corporation, Processed intra-Layer Interconnection Material for PWBs [Etched Copper Bump with Copper Foil], NMBITM, Version 2001.6.
NTK HTCC Package General Design Guide, Communication Media Components Group, NGK Spark Plug Co., Ltd., Komaki, Aichi, Japan, Apr. 2010, 32 pages.
Partial International Search Report from Invitation to Pay Additional Fees for Appln. No. PCT/US2012/028738, dated Jun. 6, 2012.
Partial International Search Report for Appln. No. PCT/US2012/060402, dated Feb. 21, 2011.
Partial International Search Report for Appln. No. PCT/US2013/026126, dated Jun. 17, 2013.
Partial International Search Report for Appln. No. PCT/US2013/075672, dated Mar. 12, 2014.
Partial International Search Report for Appln. No. PCT/US2014/014181, dated May 8, 2014.
Partial International Search Report for Appln. No. PCT/US2015/033004, dated Sep. 9, 2015.
Redistributed Chip Package (RCP) Technology, Freescale Semiconductor, 2005, 6 pages.
Taiwan Office Action for 102106326, dated Dec. 13, 2013.
Taiwan Office Action for 100125521, dated Dec. 20, 2013.
Taiwan Office Action for 100125522, dated Jan. 27, 2014.
Taiwan Office Action for 100141695, dated Mar. 19, 2014.
Taiwan Office Action for 100138311, dated Jun. 27, 2014.
Taiwan Office Action for 100140428, dated Jan. 26, 2015.
Taiwan Office Action for 102106326, dated Sep. 8, 2015.
Taiwan Office Action for 103103350, dated Mar. 21, 2016.
Bang, U.S. Appl. No. 10/656,534, filed Sep. 5, 2003.
Brochure, “High Performance BVA PoP Package for Mobile Systems,” Invensas Corporation, May 2013, 20 pages.
Brochure, “Invensas BVA PoP for Mobile Computing: Ultra High IO Without TSVs,” Invensas Corporation, Jun. 26, 2012, 4 pages.
Brochure, “Invensas BVA PoP for Mobile Computing: 100+ GB/s BVA PoP,” Invensas Corporation, c. 2012, 2 pages.
Campos et al., “System in Package Solutions Using Fan-Out Wafer Level Packaging Technology,” SEMI Networking Day, Jun. 27, 2013, 31 pages.
Chinese Office Action for Application No. 201180022247.8 dated Sep. 16, 2014.
Chinese Office Action for Application No. 201180022247.8 dated Apr. 14, 2015.
Chinese Office Action for Application No. 201310264264.3 dated May 12, 2015.
EE Times Asia “Freescale Cuts Die Area, Thickness with New Packaging Tech” [online] [Retrieved Aug. 5, 2010] Retrieved from internet: <http://www.eetasia.com/ART_8800428222_280300_NT_DEC52276.htm>, Aug. 3, 2006, 2 pages.
Extended European Search Report for Appln. No. EP13162975, dated Sep. 5, 2013.
IBM et al., “Method of Producing Thin-Film Wirings with Vias,” IBM Technical Disclosure Bulletin, Apr. 1, 1989, IBM Corp., (Thornwood), US-ISSN 0018-8689, vol. 31, No. 11, pp. 209-210, https://priorart.ip.com.
International Search Report for Appln. No. PCT/US2005/039716, dated Apr. 5, 2006.
International Search Report and Written Opinion for Appln. No. PCT/US2011/024143, dated Sep. 14, 2011.
Partial Search Report—Invitation to Pay Fees for Appln. No. PCT/US2011/024143, dated Jan. 17, 2012.
International Search Report and Written Opinion for Appln. No. PCT/US2011/060551, dated Apr. 18, 2012.
International Search Report and Written Opinion for Appln. No. PCT/US2011/044342, dated May 7, 2012.
International Search Report and Written Opinion for Appln. No. PCT/US2011/044346, dated May 11, 2012.
International Search Report and Written Opinion for Appln. No. PCT/US2012/060402, dated Apr. 2, 2011.
International Search Report and Written Opinion for Appln. No. PCT/US2013/026126, dated Jul. 25, 2013.
International Search Report and Written Opinion for Appln. No. PCT/US2013/052883, dated Oct. 21, 2013.
U.S. Appl. No. 13/477,532, mailed May 22, 2012.
U.S. Office Action for U.S. Appl. No. 12/769,930, dated May 5, 2011.
3D Plus “Wafer Level Stack—WDoD”, [online] [Retrieved Aug. 5, 2010] Retrieved from internet: <http://www.3d-plus.com/techno-wafer-level-stack-wdod.php>, 2 pages.
Written Opinion for Appln. No. PCT/US2014/050125, dated Jul. 15, 2015.
Yoon, PhD, Seung Wook, “Next Generation Wafer Level Packaging Solution for 3D Integration,” May 2010, STATS ChipPAC Ltd.
International Search Report and Written Opinion for Appln. No. PCT/US2016/056526, dated Jan. 20, 2017.
International Search Report and Written Opinion for Appln. No. PCT/US2016/068297, dated Apr. 17, 2017.
Taiwan Search Report for 105128420, dated Sep. 26, 2017.
Chinese Office Action Search Report for Application No. 2014800551784 dated Jan. 23, 2018.
European Search Report for Appln. No. EP12712792, dated Feb. 27, 2018, 2 pages.
International Search Report and Written Opinion for Appln. No. PCT/US2017/064437, dated Mar. 29, 2018.
Ghaffarian Ph.D., Reza et. al., “Evaluation Methodology Guidance for Stack Packages,” Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, NASA, Oct. 2009, 44 pages.
Invensas Corporation, “High Performance BVA PoP package for Mobile Systems,” May 2013, 20 pages.
Related Publications (1)
Number Date Country
20150348940 A1 Dec 2015 US