3D semiconductor device and system

Information

  • Patent Grant
  • 10388568
  • Patent Number
    10,388,568
  • Date Filed
    Wednesday, April 11, 2018
    6 years ago
  • Date Issued
    Tuesday, August 20, 2019
    4 years ago
Abstract
A 3D semiconductor device, the device including: a first single crystal layer including a plurality of first transistors; at least one first metal layer interconnecting the plurality of first transistors, where the interconnecting includes forming memory peripheral circuits; a plurality of second transistors overlaying the at least one first metal layer; a second metal layer overlaying the plurality of second transistors; a first memory cell overlaying the memory peripheral circuits; and a second memory cell overlaying the first memory cell, where the first memory cell includes at least one of the second transistors, where at least one of the second transistors includes a source, channel and drain, where the source, the channel and the drain have the same dopant type.
Description

This application claims priority of co-pending U.S. patent application Ser. No. 12/792,673 (now U.S. Pat. No. 7,964,916), Ser. No. 12/797,493 (now U.S. Pat. No. 8,115,511), Ser. No. 12/847,911 (now U.S. Pat. No. 7,960,242), Ser. No. 12/849,272 (now U.S. Pat. No. 7,986,042), Ser. No. 12/859,665 (now U.S. Pat. No. 8,405,420), Ser. No. 12/903,862 (now U.S. Patent Application Publication No. 2012/U.S. Pat. No. 0,091,474), Ser. No. 12/900,379 (now U.S. Pat. No. 8,395,191), Ser. No. 12/901,890 (now U.S. Pat. No. 8,026,521), Ser. No. 12/949,617 (now U.S. Pat. No. 8,754,533), Ser. No. 12/970,602 (now U.S. Pat. No. 9,711,407), Ser. No. 12,904,119 (now U.S. Pat. No. 8,476,145), Ser. No. 12/951,913 (now U.S. Pat. No. 8,536,023), Ser. No. 12/894,252 (now U.S. Pat. No. 8,258,810), Ser. No. 12/904,108 (now U.S. Pat. No. 8,362,800), Ser. No. 12/941,073 (now U.S. Pat. No. 8,427,200), Ser. No. 12/941,074 (now U.S. Pat. No. 9,577,642), Ser. No. 12/941,075 (now U.S. Pat. No. 8,373,439), Ser. No. 12/951,924 (now U.S. Pat. No. 8,492,886), Ser. No. 13/041,405 (now U.S. Pat. No. 8,901,613), Ser. No. 13/041,406 (now U.S. Pat. No. 9,509,313), and Ser. No. 13/016,313 (now U.S. Pat. No. 8,362,482), the contents of which are incorporated by reference.


BACKGROUND OF THE INVENTION
Field of the Invention

The invention relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D-IC) devices


2. Discussion of Background Art

3D stacking of semiconductor chips may be one avenue to tackle issues with wires. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), one can place transistors in ICs closer to each other. This reduces wire lengths and keeps wiring delay low.


There are many techniques to construct 3D stacked integrated circuits or chips including:


Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).


Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D approaches are described in pending U.S. patent application Ser. No. 12/900,379, now U.S. Pat. No. 8,395,191, and U.S. patent application Ser. No. 12/904,119, now U.S. Pat. No. 8,476,145.


SUMMARY

In one aspect, a 3D integrated circuit device, comprising: a first transistor; a second transistor; and a third transistor, wherein said third transistor is overlaying said second transistor and said third transistor is controlled by a third control line, wherein said second transistor is overlaying said first transistor and said second transistor is controlled by a second control line, wherein said first transistor is part of a control circuit controlling said second control line and said third control line, wherein said second transistor and said third transistor are self-aligned.


In another aspect, a 3D integrated circuit device, comprising: a first transistor; a first memory cell comprising a second transistor; and a second memory cell comprising a third transistor, wherein said third transistor is overlaying said second transistor and said second transistor is overlaying said first transistor, wherein said first transistor is part of a control circuit controlling said first memory cell and second memory cell, wherein said second transistor is self-aligned to said third transistor, and wherein said second transistor is connected to said third transistor with an ohmic connection.





BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:



FIGS. 1A-1D are exemplary drawing illustrations of a layer transfer flow using ion-cut in which a top layer of doped Si may be layer transferred atop a generic bottom layer;



FIG. 2 is an exemplary drawing illustration of a possible structure produced from the process of FIGS. 1A-1D;



FIGS. 3A-3I are exemplary drawing illustrations of a zero-mask per layer 3D resistive memory with a junction-less transistor process flow and structure;



FIGS. 4A-4C are exemplary drawing illustrations of a zero-mask per layer 3D charge-trap memory process flow and structure;



FIGS. 5A-5B are exemplary drawing illustrations of periphery below and on top of memory layers;



FIG. 5C is exemplary drawing illustration of a periphery on top of memory layers structure;



FIGS. 6A-6G are exemplary drawing illustrations of the formation of a floating gate memory transistor with process flow and structure;



FIGS. 6H-6M are exemplary drawing illustrations of a two-mask per layer 3D resistive memory process flow and structure;



FIGS. 7A-7H are exemplary drawing illustrations of the formation of a floating gate memory transistor with process flow and structure;



FIG. 8A is an exemplary drawing illustration of a programmable device layers structure;



FIGS. 8B-8I are exemplary drawing illustrations of the preprocessed wafers and layers and generalized layer transfer;



FIG. 9 is an exemplary drawing illustration of a transferred layer on top of a main wafer, donor layer/wafer and receptor wafer in an alignment scheme;



FIG. 10A is an exemplary drawing illustration of a metallization scheme for 2D integrated circuits and chips;



FIG. 10B is an exemplary drawing illustration of a metallization scheme for monolithic 3D integrated circuits and chips;



FIG. 11A is an exemplary drawing illustration of an 8×12 array of the repeatable structure of FIG. 92C of incorporated reference PCT/2011/042071;



FIG. 11B is an exemplary drawing illustration of a reticle of the repeatable structure of FIG. 92C of incorporated reference PCT/2011/042071;



FIG. 11C is an exemplary drawing illustration of the application of a dicing line mask to a continuous array of the structure of FIG. 11A;



FIG. 11D is an exemplary drawing illustration of a continuous array reticle of RAM tiles;



FIG. 11E is an exemplary drawing illustration of continuous array reticle of DRAM tiles;



FIG. 11F is an exemplary drawing illustration of a six transistor memory cell suitable for use in a continuous array memory;



FIG. 11G is an exemplary drawing illustration of a continuous array of the memory cells of FIG. 11F with an etching pattern defining a 4×4 array;



FIG. 11H is an exemplary drawing illustration of a word decoder on another layer suitable for use with the defined array of FIG. 11G;



FIG. 11I is an exemplary drawing illustration of a column decoder and sense amplifier on another layer suitable for use with the defined array of FIG. 11G;



FIGS. 12A-12E are exemplary drawing illustrations of a process flow for constructing 3D stacked logic chips using junction-less transistors as switches;



FIGS. 13A-13D are exemplary drawing illustrations of different types of junction-less transistors (JLT) that could be utilized for 3D stacking applications;



FIGS. 13E-13I are exemplary drawing illustrations of a process flow for manufacturing junction-less transistors with reduced lithography steps;



FIGS. 13J-13M are exemplary drawing illustrations of formation of top planar transistors;



FIGS. 14A-14D are exemplary drawing illustrations of an advanced TSV flow;



FIGS. 15A-15C are exemplary drawing illustrations of a portion the formation of a junction-less transistor;



FIGS. 16A-16E are exemplary drawing illustrations of the formation of a vertically oriented junction-less transistor with process flow and structure;



FIGS. 17A-17E are exemplary drawing illustrations of a process flow for manufacturing recessed channel junction-less transistors and its structure;



FIGS. 18A-18B are exemplary drawing illustrations of a 3D NAND8 cell;



FIGS. 18C-18D are exemplary drawing illustrations of a 3D NOR8 cell;



FIG. 19A is an exemplary drawing illustration of a cross sections of a 3D inverter cell;



FIG. 19B is an exemplary drawing illustration of a 3D CMOS Transmission cell;



FIG. 20A is an exemplary drawing illustration of underlying back bias circuits;



FIG. 20B is an exemplary drawing illustration of underlying power control circuits;



FIG. 21A is an exemplary drawing illustration of an underlying I/O;



FIG. 21B is an exemplary drawing illustration of side “cut”;



FIG. 21C is an exemplary drawing illustration of a 3D IC system;



FIG. 21D is an exemplary drawing illustration of a 3D IC processor and DRAM system;



FIG. 21E is an exemplary drawing illustration of a 3D IC processor and DRAM system;



FIG. 21F is an exemplary drawing illustration of a custom SOI wafer used to build through-silicon connections;



FIG. 21G is an exemplary drawing illustration of a prior art method to make through-silicon vias;



FIG. 21H is an exemplary drawing illustration of a process flow for making custom SOI wafers;



FIG. 21I is an exemplary drawing illustration of a processor-DRAM stack;



FIG. 21J is an exemplary drawing illustration of a process flow for making custom SOI wafers;



FIG. 22A is an exemplary drawing illustration of the power distribution network of a 3D integrated circuit; and



FIG. 22B is an exemplary drawing illustration of the thermal contact concept.





DETAILED DESCRIPTION

Embodiments of the invention are now described with reference to the figures, it being appreciated that the figures illustrate the subject matter not to scale or to measure. Many figures describe process flows for building devices. These process flows, which are essentially a sequence of steps for building a device, have many structures, numerals and labels that are common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in previous steps' figures.


Embodiments of the invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the spirit of the appended claims.


This section of the document describes a technology to construct single-crystal silicon transistors atop wiring layers with less than 400° C. processing temperatures. This allows construction of 3D stacked semiconductor chips with a high density of connections between different layers, because the top-level transistors are formed well-aligned to bottom-level wiring and transistor layers. Since the top-level transistor layers are very thin (preferably less than about 200 nm), alignment can be done through these thin silicon and oxide layers to features in the bottom-level.



FIGS. 1A-1D illustrates an ion-cut flow for layer transferring a single crystal silicon layer atop any generic bottom layer 102. The bottom layer 102 can be a single crystal silicon layer. Alternatively, it can be a wafer having transistors with wiring layers above it. This process of ion-cut based layer transfer may include several steps, as described in the following sequence:


Step (A): A silicon dioxide layer 104 may be deposited above the generic bottom layer 102. FIG. 1A illustrates the structure after Step (A) is completed.


Step (B): The top layer of doped or undoped silicon 106 to be transferred atop the bottom layer may be processed and an oxide layer 108 may be deposited or grown above it. FIG. 1B illustrates the structure after Step (B) is completed.


Step (C): Hydrogen may be implanted into the top layer silicon 106 with the peak at a certain depth to create the hydrogen plane 110. Alternatively, another atomic species such as helium or boron can be implanted or co-implanted. FIG. 1C illustrates the structure after Step (C) is completed.


Step (D): The top layer wafer shown after Step (C) may be flipped and bonded atop the bottom layer wafer using oxide-to-oxide bonding. FIG. 1D illustrates the structure after Step (D) is completed.


Step (E): A cleave operation may be performed at the hydrogen plane 110 using an anneal. Alternatively, a sideways mechanical force may be used. Further details of this cleave process are described in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (1003) by G. K. Celler and S. Cristoloveanu (“Celler”) and “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 1370-1372, 1000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”). Following this, a Chemical-Mechanical-Polish (CMP) may be done. FIG. 2 illustrates the structure after Step (E) is completed.


One method to solve the issue of high-temperature source-drain junction processing may be to make transistors without junctions i.e. Junction-Less Transistors (JLTs). An embodiment of this invention uses JLTs as a building block for 3D stacked semiconductor circuits and chips.


Further details of the JLT can be found in “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, pp. 053511 2009 by C.-W. Lee, A. Afzalian, N. Dehdashti Akhavan, R. Yan, I. Ferain and J. P. Colinge (“C-W. Lee”). Contents of this publication are incorporated herein by reference.


Many of the types of embodiments of this invention described herein utilize single crystal silicon or mono-crystalline silicon transistors. These terms may be used interchangeably. Thicknesses of layer transferred regions of silicon are <2 μm, and many times can be <1 μm or <0.4 μm or even <0.2 μm. Interconnect (wiring) layers are preferably constructed substantially of copper or aluminum or some other high conductivity material.


While ion-cut has been described in previous sections as the method for layer transfer, several other procedures exist that fulfill the same objective. These include:

  • Lift-off or laser lift-off: Background information for this technology is given in “Epitaxial lift-off and its applications”, 1993 Semicond. Sci. Technol. 8 1124 by P Demeester et al. (“Demeester”).
  • Porous-Si approaches such as ELTRAN: Background information for this technology is given in “Eltran, Novel SOI Wafer Technology”, JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”) and also in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978, 2003 by G. K. Celler and S. Cristoloveanu (“Celler”).
  • Time-controlled etch-back to thin an initial substrate, Polishing, Etch-stop layer controlled etch-back to thin an initial substrate: Background information on these technologies is given in Celler and in U.S. Pat. No. 6,806,171.
  • Rubber-stamp based layer transfer: Background information on this technology is given in “Solar cells sliced and diced”, 19 May 2010, Nature News.


    The above publications giving background information on various layer transfer procedures are incorporated herein by reference. It is obvious to one skilled in the art that one can form 3D integrated circuits and chips as described in this document with the layer transfer schemes described in these publications above.


While many of today's memory technologies rely on charge storage, several companies are developing non-volatile memory technologies based on resistance of a material changing. Examples of these resistance-based memories include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, conductive bridge RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.



FIGS. 3A-3I describe a novel memory architecture for resistance-based memories, and a procedure for its construction. The memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask may be utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIGS. 3A-3I, and all other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.


Step (A): Peripheral circuits 302 are first constructed and above this oxide layer 304 may be deposited. FIG. 3A shows a drawing illustration after Step (A).


Step (B): FIG. 3B illustrates the structure after Step (B). N+ Silicon wafer 308 has an oxide layer 306 grown or deposited above it. A doped and activated layer may be formed in or on N+ silicon wafer 308 by processes such as, for example, implant and RTA or furnace activation, or epitaxial deposition and activation. Following this, hydrogen may be implanted into the n+ Silicon wafer at a certain depth indicated by 314. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted n+ Silicon wafer 308 forms the top layer 310. The bottom layer 312 may include the peripheral circuits 302 with oxide layer 304. The top layer 310 may be flipped and bonded to the bottom layer 312 using oxide-to-oxide bonding.


Step (C): FIG. 3C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) may be cleaved at the hydrogen plane 314 using either a anneal or a sideways mechanical force or other means. A CMP process may be then conducted. A layer of silicon oxide 318 may be then deposited atop the n+ Silicon layer 316. At the end of this step, a single-crystal n+Si layer 316 exists atop the peripheral circuits, and this has been achieved using layer transfer techniques.


Step (D): FIG. 3D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 320 are formed with silicon oxide layers in between.


Step (E): FIG. 3E illustrates the structure after Step (E). Lithography and etch processes may then be utilized to make a structure as shown in the figure, including n+ silicon layer regions 321 and silicon oxide layer regions 322.


Step (F): FIG. 3F illustrates the structure after Step (F). Gate dielectric 326 and gate electrode 324 are then deposited following which a CMP may be performed to planarize the gate electrode 324 regions. Lithography and etch are utilized to define gate regions.


Step (G): FIG. 3G illustrates the structure after Step (G). A silicon oxide layer 330 may be then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 332 and source-line (SL) 334 regions.


Step (H): FIG. 3H illustrates the structure after Step (H). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 336 may be then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, well known to change resistance by applying voltage. An electrode for the resistance change memory element may be then deposited (preferably using ALD) and is shown as electrode/BL contact 340. A CMP process may be then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with junction-less transistors are created after this step.


Step (I): FIG. 3I illustrates the structure after Step (I). BLs 338 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be achieved in steps prior to Step (I) as well.

FIG. 3J shows cross-sectional views of the array for clarity.


A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates that are simultaneously deposited over multiple memory layers for transistors, and (4) mono-crystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While explanations have been given for formation of monolithic 3D resistive memories with ion-cut in this section, it is clear to one skilled in the art that alternative implementations are possible. BL and SL nomenclature has been used for two terminals of the 3D resistive memory array, and this nomenclature can be interchanged. Moreover, selective epi technology or laser recrystallization technology could be utilized for implementing structures shown in FIG. 3A-3I. Various other types of layer transfer schemes that have been described herein can be utilized for construction of various 3D resistive memory structures. One could also use buried wiring, i.e. where wiring for memory arrays may be below the memory layers but above the periphery. Other variations of the monolithic 3D resistive memory concepts are possible.


As illustrated in FIG. 3I, BL metal lines 338 may be formed and connected to the associated BL contacts 340. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al.


While resistive memories described previously form a class of non-volatile memory, others classes of non-volatile memory exist. NAND flash memory forms one of the most common non-volatile memory types. It can be constructed of two main types of devices: floating-gate devices where charge is stored in a floating gate and charge-trap devices where charge is stored in a charge-trap layer such as Silicon Nitride. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”) and “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. The architectures shown in FIGS. 4A-4C are relevant for any type of charge-trap memory.



FIG. 4A-4C describes a memory architecture for single-crystal 3D charge-trap memories, and a procedure for its construction. It utilizes junction-less transistors. No mask may be utilized on a “per-memory-layer” basis for the monolithic 3D charge-trap memory concept shown in FIG. 4A-C, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence. Steps (A) to Step (D) could be done as presented in respect to FIG. 3A to 3D.


Step (E): FIG. 4A illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.


Step (F): FIG. 4B illustrates the structure after Step (F). Gate dielectric 426 and gate electrode 424 are then deposited following which a CMP may be done to planarize the gate electrode 424 regions. Lithography and etch are utilized to define gate regions. Gates of the NAND string 436 as well gates of select gates of the NAND string 438 are defined.


Step (G): FIG. 4C illustrates the structure after Step (G). A silicon oxide layer 430 may be then deposited and planarized. It is shown transparent in the figure for clarity. Word-lines, bit-lines and source-lines are defined as shown in the figure. Contacts are formed to various regions/wires at the edges of the array as well. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be performed in steps prior to Step (G) as well.


A 3D charge-trap memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., bit lines BL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) mono-crystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut. This use of single-crystal silicon obtained with ion-cut is a key differentiator from past work on 3D charge-trap memories such as “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. that used polysilicon.


While FIG. 4A-4C give example of how single-crystal silicon layers with ion-cut can be used to produce 3D charge-trap memories, the ion-cut technique for 3D charge-trap memory may be fairly general. It could be utilized to produce any horizontally-oriented 3D mono-crystalline silicon charge-trap memory. FIG. 4A-4C further illustrates how general the process can be. One or more doped silicon layers 420, including oxide layer 430, can be layer transferred atop any peripheral circuit layer 402 using procedures shown in FIG. 1-FIG. 2. These are indicated in FIG. 3A, FIG. 3B and FIG. 3C. Acceptor wafer 410 may include peripheral circuits such that the accepter wafer can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have been subject to a weak RTA or no RTA for activating dopants. Following this, different procedures can be utilized to form different types of 3D charge-trap memories. For example, procedures shown in “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. and “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology, 2009 by W. Kim, S. Choi, et al. can be used to produce the two different types of horizontally oriented single crystal silicon 3D charge trap memory shown in FIG. 4C.



FIG. 5A-B may not be the only option for the architecture, as depicted in, for example, FIG. 1 through FIG. 4. Peripheral transistors within periphery layer 1502 may be constructed below the memory layers, for example, memory layer 1 1504, memory layer 2 1506, and/or memory layer 3 1508. Peripheral transistors within periphery layer 1510 could also be constructed above the memory layers, for example, memory layer 1 504, memory layer 2 1506, and/or memory layer 3 1508, which may be atop substrate or memory layer 4 1512, as shown in FIG. 5B.


Poly-Silicon-Based Implementation of Various Memory Concepts


The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-silicon-based memory architectures as well. Poly silicon based architectures could potentially be cheaper than single crystal silicon based architectures when a large number of memory layers need to be constructed. While the below concepts are explained by using resistive memory architectures as an example, it will be clear to one skilled in the art that similar concepts can be applied to NAND flash memory and DRAM architectures described in this patent application.



FIGS. 3A and 3D-3J could be used to shows one such embodiment, where polysilicon junction-less transistors are used to form a 3D memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps as described in the following sequence:


Step (A): As illustrated in FIG. 3A, peripheral circuits 302 are constructed above which oxide layer 304 is made.


Step (B): As illustrated in FIG. 3D, multiple layers of n+ doped amorphous silicon or polysilicon 320, are deposited with layers of silicon dioxide 308 in between. The amorphous silicon or polysilicon layers 320 could be deposited using a chemical vapor deposition process, such as Low Pressure Chemical Vapor Deposition (LPCVD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).


Step (C): A Rapid Thermal Anneal (RTA) could be conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (C). Temperatures during this RTA could be as high as 500° C. or more, and could even be as high as 800° C. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 320 at the same time or layer by layer. The thickness of the oxide layer 304 could be optimized if that process were conducted.


Step (D): As illustrated in FIG. 3H, procedures similar to those described in FIG. 3E-3H are utilized to construct the structure shown. The structure in FIG. 3H has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 336 while its electrode and contact to the BL is indicated as 340. The WL is indicated as 332, while the SL is indicated as 334. Gate dielectric of the junction-less transistor is indicated as 326 while the gate electrode of the junction-less transistor is indicated as 324, this gate electrode also serves as part of the WL 332.


Step (E): As illustrated in FIG. 3J, bit lines (indicated as BL 338) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.


Charge trap NAND (Negated AND) memory devices are another form of popular commercial non-volatile memories. Charge trap device store their charge in a charge trap layer, wherein this charge trap layer then influences the channel of a transistor. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (hereinafter Bakir), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. and “Introduction to Flash memory,” Proc. IEEE 91, 489-502 (2003) by R. Bez, et al. Work described in Bakir utilized selective epitaxy, laser recrystallization, or polysilicon to form the transistor channel.


As illustrated in FIGS. 4A to 4C, a charge trap based 3D memory with zero additional masking steps per memory layer 3D memory may be constructed that is suitable for 3D IC manufacturing. This 3D memory utilizes NAND strings of charge trap junction-less transistors with junction-less select transistors constructed in mono-crystalline silicon.


As illustrated in FIG. 4C, the entire structure may be covered with a gap fill oxide 430, which may be planarized with chemical mechanical polishing. The oxide 430 is shown transparent in the figure for clarity. Select metal lines 432 may be formed and connected to the associated select gate contacts 434. Contacts and associated metal interconnect lines (not shown) may be formed for the WL and SL at the memory array edges. Word-line regions (WL) 436, gate electrodes 424, and bit-line regions (BL) 452 including indicated N+ silicon regions 466, are shown. Source regions 434 may be formed by trench contact etch and fill to couple to the N+ silicon regions on the source end of the NAND string 436. A thru layer via (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor substrate peripheral circuitry via an acceptor wafer metal connect pad (not shown).


This flow may enable the formation of a charge trap based 3D memory with zero additional masking steps per memory layer constructed by layer transfers of wafer sized doped layers of mono-crystalline silicon and this 3D memory may be connected to an underlying multi-metal layer semiconductor device.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 4A through 4C are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, BL or SL contacts may be constructed in a staircase manner as described previously. Moreover, the stacked memory layer may be connected to a periphery circuit that is above the memory stack. Additionally, each tier of memory could be configured with a slightly different donor wafer N+ layer doping profile. Further, the memory could be organized in a different manner, such as BL and SL interchanged, or where buried wiring for the memory array is below the memory layers but above the periphery. Additional types of 3D charge trap memories may be constructed by layer transfer of mono-crystalline silicon; for example, those found in “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al., and “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology, 2009 by W. Kim, S. Choi, et al. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.


Floating gate (FG) memory devices are another form of popular commercial non-volatile memories. Floating gate devices store their charge in a conductive gate (FG) that is nominally isolated from unintentional electric fields, wherein the charge on the FG then influences the channel of a transistor. Background information on floating gate flash memory can be found in “Introduction to Flash memory”, Proc. IEEE 91, 489-502 (2003) by R. Bez, et al. The architectures shown are relevant for any type of floating gate memory.



FIG. 5C show another embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps occurring in sequence:


Step (A): Similar to as illustrated in FIG. 3A, a layer of silicon dioxide 304 is deposited or grown above a silicon substrate without circuits 302.


Step (B): As illustrated in FIG. 3D, multiple layers of n+ doped amorphous silicon or polysilicon 316 are deposited with layers of silicon dioxide 318 in between. The amorphous silicon or polysilicon layers 316 could be deposited using a chemical vapor deposition process, such as LPCVD or PECVD.


Step (C): A Rapid Thermal Anneal (RTA) or standard anneal is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 700° C. or more, and could even be as high as 1400° C. Since there are no circuits under these layers of polysilicon, very high temperatures (such as, for example, 1400° C.) can be used for the anneal process, leading to very good quality polysilicon with few grain boundaries and very high mobilities approaching those of single crystal silicon. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 316 at the same time or layer by layer at different times.


Step (D): Procedures similar to those described are utilized to get the structure shown in FIG. 3H that has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 336, 5136 while its electrode and contact to the BL is indicated as 340, 5138. The WL is indicated as 332, while the SL is indicated as 334, 5134. Gate dielectric of the junction-less transistor is indicated as 326, 5126 while the gate electrode of the junction-less transistor is indicated as 324, 5124, this gate electrode also serves as part of the WL 332.


Step (E): This is similar to as illustrated in FIG. 3J. Bit lines (indicated as BL 338) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.


Step (F): Using procedures described in this patent application, peripheral circuits 5198 (with transistors and wires) could be formed well aligned to the multiple memory layers shown in Step (E). For the periphery, one could use a process flow where replacement gate processing is used, or one could use sub-400° C. processed transistors such as junction-less transistors or recessed channel transistors. Alternatively, one could use laser anneals for peripheral transistors' source-drain processing. Connections can then be formed between the multiple memory layers and peripheral circuits. By proper choice of materials for memory layer transistors and memory layer wires (e.g., by using tungsten and other materials that withstand high temperature processing for wiring), even standard transistors processed at high temperatures (>1000° C.) for the periphery could be used.


As illustrated in FIGS. 6A to 6G, a floating gate based 3D memory with two additional masking steps per memory layer may be constructed that is suitable for 3D IC manufacturing. This 3D memory utilizes NAND strings of floating gate transistors constructed in mono-crystalline silicon.


As illustrated in FIG. 6A, a P− substrate donor wafer 10700 may be processed to include a wafer sized layer of P− doping 10704. The P-doped layer 10704 may have the same or a different dopant concentration than the P− substrate 10700. The P− doped layer 10704 may have a vertical dopant gradient. The P− doped layer 10704 may be formed by ion implantation and thermal anneal. A screen oxide 10701 may be grown before the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding.


As illustrated in FIG. 6B, the top surface of donor wafer 10700 may be prepared for oxide wafer bonding with a deposition of an oxide 10702 or by thermal oxidation of the P− doped layer 10704 to form oxide layer 10702, or a re-oxidation of implant screen oxide 10701. A layer transfer demarcation plane 10799 (shown as a dashed line) may be formed in donor wafer 10700 or P− layer 10704 (shown) by hydrogen implantation 10707 or other methods as previously described. Both the donor wafer 10700 and acceptor wafer 10710 may be prepared for wafer bonding as previously described and then bonded, preferably at a low temperature (less than approximately 400° C.) to minimize stresses. The portion of the P− layer 10704 and the P− donor wafer substrate 10700 that are above the layer transfer demarcation plane 10799 may be removed by cleaving and polishing, or other processes as previously described, such as ion-cut or other methods.


As illustrated in FIG. 6C, the remaining P− doped layer 10704′, and oxide layer 10702 have been layer transferred to acceptor wafer 10710. Acceptor wafer 10710 may include peripheral circuits such that they can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have been subject to a weak RTA or no RTA for activating dopants. Also, the peripheral circuits may utilize a refractory metal such as, for example, tungsten that can withstand high temperatures greater than approximately 400° C. The top surface of P− doped layer 10704′ may be chemically or mechanically polished smooth and flat. Now transistors may be formed and aligned to the acceptor wafer 10710 alignment marks (not shown).


As illustrated in FIG. 6D a partial gate stack may be formed with growth or deposition of a tunnel oxide 10722, such as, for example, thermal oxide, and a FG gate metal material 10724, such as, for example, doped or undoped poly-crystalline silicon. Shallow trench isolation (STI) oxide regions (not shown) may be lithographically defined and plasma/RIE etched to at least the top level of oxide layer 10702, thus removing regions of P− mono-crystalline silicon layer 10704′ and forming P− doped regions 10720. A gap-fill oxide may be deposited and CMP'ed flat to form conventional STI oxide regions (not shown).


As illustrated in FIG. 6E, an inter-poly oxide layer 10725, such as silicon oxide and silicon nitride layers (ONO: Oxide-Nitride-Oxide), and a Control Gate (CG) gate metal material 10726, such as doped or undoped poly-crystalline silicon, may be deposited. The gate stacks 10728 may be lithographically defined and plasma/RIE etched, thus removing regions of CG gate metal material 10726, inter-poly oxide layer 10725, FG gate metal material 10724, and tunnel oxide 10722. This removal may result in the gate stacks 10728 including CG gate metal regions 10726′, inter-poly oxide regions 10725′, FG gate metal regions 10724, and tunnel oxide regions 10722′. Only one gate stack 10728 is annotated with region tie lines for clarity. A self-aligned N+ source and drain implant may be performed to create inter-transistor source and drains 10734 and end of NAND string source and drains 10730. Finally, the entire structure may be covered with a gap fill oxide 10750, which may be planarized with chemical mechanical polishing. The oxide surface may be prepared for oxide to oxide wafer bonding as previously described. This now forms the first tier of memory transistors 10742 including silicon oxide layer 10750, gate stacks 10728, inter-transistor source and drains 10734, end of NAND string source and drains 10730, P− silicon regions 10720, and oxide 10702.


As illustrated in FIG. 6F, the transistor layer formation, bonding to acceptor wafer 10710 oxide 10750, and subsequent transistor formation as described in FIGS. 6A to 6D may be repeated to form the second tier 10744 of memory transistors on top of the first tier of memory transistors 10742. After substantially all the memory layers are constructed, a rapid thermal anneal (RTA) may be conducted to activate the dopants in substantially all of the memory layers and in the acceptor substrate 10710 peripheral circuits. Alternatively, optical anneals, such as, for example, a laser based anneal, may be performed.


As illustrated in FIG. 6G, source line (SL) ground contact 10748 and bit line contact 10749 may be lithographically defined, etched with plasma/RIE through oxide 10750, end of NAND string source and drains 10730, and P− regions 10720 of each memory tier, and the associated oxide vertical isolation regions to connect substantially all memory layers vertically. SL ground contact 10748 and bit line contact 10749 may then be processed by a photoresist removal. Metal or heavily doped poly-crystalline silicon may be utilized to fill the contacts and metallization utilized to form BL and SL wiring (not shown). The gate stacks 10728 may be connected with a contact and metallization to form the word-lines (WLs) and WL wiring (not shown). A thru layer via 10760 (not shown) may be formed to electrically couple the BL, SL, and WL metallization to the acceptor substrate 10710 peripheral circuitry via an acceptor wafer metal connect pad 10780 (not shown).


This flow may enable the formation of a floating gate based 3D memory with two additional masking steps per memory layer constructed by layer transfers of wafer sized doped layers of mono-crystalline silicon and this 3D memory may be connected to an underlying multi-metal layer semiconductor device.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 6A through 6G are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, BL or SL select transistors may be constructed within the process flow. Moreover, the stacked memory layer may be connected to a periphery circuit that is above the memory stack. Additionally, each tier of memory could be configured with a slightly different donor wafer P− layer doping profile. Further, the memory could be organized in a different manner, such as BL and SL interchanged, or where buried wiring for the memory array is below the memory layers but above the periphery. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.



FIG. 6H-6M describes an alternative process flow to construct a horizontally-oriented monolithic 3D resistive memory array. This embodiment has a resistance-based memory element in series with a transistor selector. Two masks are utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIG. 6H-6M, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.


Step (A): The process flow starts with a p− silicon wafer 3500 with an oxide coating 3504. A doped and activated layer may be formed in or on p− silicon wafer 3500 by processes such as, for example, implant and RTA or furnace activation, or epitaxial deposition and activation. FIG. 6H illustrates the structure after Step (A).


Step (B): FIG. 6J illustrates the structure after Step (B). Using a process flow similar to FIG. 1, portion of p− silicon wafer 3500, p− silicon layer 3502, is transferred atop a layer of peripheral circuits 3506. The peripheral circuits 3506 preferably use tungsten wiring.


Step (C): FIG. 6J illustrates the structure after Step (C). Isolation regions for transistors are formed using a shallow-trench-isolation (STI) process. Following this, a gate dielectric 3510 and a gate electrode 3508 are deposited.


Step (D): FIG. 6K illustrates the structure after Step (D). The gate is patterned, and source-drain regions 3512 are formed by implantation. An inter-layer dielectric (ILD) 3514 is also formed.


Step (E): FIG. 6L illustrates the structure after Step (E). Using steps similar to Step (A) to Step (D), a second layer of transistors 3516 is formed above the first layer of transistors 3514. A RTA or some other type of anneal is performed to activate dopants in the memory layers (and potentially also the peripheral transistors).


Step (F): FIG. 6M illustrates the structure after Step (F). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 3522 is then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, which is well known to change resistance by applying voltage. An electrode for the resistance change memory element is then deposited (preferably using ALD) and is shown as electrode 3526. A CMP process is then conducted to planarize the surface. Contacts are made to drain terminals of transistors in different memory layer as well. Note that gates of transistors in each memory layer are connected together perpendicular to the plane of the figure to form word-lines (WL). Wiring for bit-lines (BLs) and source-lines (SLs) is constructed. Contacts are made between BLs, WLs and SLs with the periphery at edges of the memory array. Multiple resistance change memory elements in series with transistors may be created after this step.


A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in the transistor channels, and (2) mono-crystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.


While explanations have been given for formation of monolithic 3D resistive memories with ion-cut in this section, it is clear to one skilled in the art that alternative implementations are possible. BL and SL nomenclature has been used for two terminals of the 3D resistive memory array, and this nomenclature can be interchanged. Moreover, selective epi technology or laser recrystallization technology could be utilized for implementing structures shown in FIG. 6H-6M. Various other types of layer transfer schemes can be utilized for construction of various 3D resistive memory structures. One could also use buried wiring, i.e. where wiring for memory arrays is below the memory layers but above the periphery. Other variations of the monolithic 3D resistive memory concepts are possible.


As illustrated in FIGS. 7A to 7H, a floating gate based 3D memory with one additional masking step per memory layer 3D memory may be constructed that is suitable for 3D IC manufacturing. This 3D memory utilizes 3D floating gate junction-less transistors constructed in mono-crystalline silicon.


As illustrated in FIG. 7A, a silicon substrate with peripheral circuitry 10802 may be constructed with high temperature (greater than approximately 400° C.) resistant wiring, such as, for example, Tungsten. The peripheral circuitry substrate 10802 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 10802 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have been subject to a weak RTA or no RTA for activating dopants. The top surface of the peripheral circuitry substrate 10802 may be prepared for oxide wafer bonding with a deposition of a silicon oxide 10804, thus forming acceptor wafer 10814.


As illustrated in FIG. 7B, a mono-crystalline N+ doped silicon donor wafer 10812 may be processed to include a wafer sized layer of N+ doping (not shown) which may have a different dopant concentration than the N+ substrate 10806. The N+ doping layer may be formed by ion implantation and thermal anneal. A screen oxide 10808 may be grown or deposited prior to the implant to protect the silicon from implant contamination and to provide an oxide surface for later wafer to wafer bonding. A layer transfer demarcation plane 10810 (shown as a dashed line) may be formed in donor wafer 10812 within the N+ substrate 10806 or the N+ doping layer (not shown) by hydrogen implantation or other methods as previously described. Both the donor wafer 10812 and acceptor wafer 10814 may be prepared for wafer bonding as previously described and then bonded at the surfaces of oxide layer 10804 and oxide layer 10808, at a low temperature (e.g., less than approximately 400° C. preferred for lowest stresses), or a moderate temperature (e.g., less than approximately 900° C.).


As illustrated in FIG. 7C, the portion of the N+ layer (not shown) and the N+ wafer substrate 10806 that are above the layer transfer demarcation plane 10810 may be removed by cleaving and polishing, or other processes as previously described, such as ion-cut or other methods, thus forming the remaining mono-crystalline silicon N+ layer 10806′. Remaining N+ layer 10806′ and oxide layer 10808 have been layer transferred to acceptor wafer 10814. The top surface of N+ layer 10806′ may be chemically or mechanically polished smooth and flat. Now transistors or portions of transistors may be formed and aligned to the acceptor wafer 10814 alignment marks (not shown).


As illustrated in FIG. 7D N+ regions 10816 may be lithographically defined and then etched with plasma/RIE, thus removing regions of N+ layer 10806′ and stopping on or partially within oxide layer 10808.


As illustrated in FIG. 7E, a tunneling dielectric 10818 may be grown or deposited, such as thermal silicon oxide, and a floating gate (FG) material 10828, such as doped or undoped poly-crystalline silicon, may be deposited. The structure may be planarized by chemical mechanical polishing to approximately the level of the N+ regions 10816. The surface may be prepared for oxide to oxide wafer bonding as previously described, such as a deposition of a thin oxide. This now forms the first memory layer 10823 including future FG regions 10828, tunneling dielectric 10818, N+ regions 10816 and oxide 10808.


As illustrated in FIG. 7F, the N+ layer formation, bonding to an acceptor wafer, and subsequent memory layer formation as described in FIGS. 7A to 7E may be repeated to form the second layer 10825 of memory on top of the first memory layer 10823. A layer of oxide 10829 may then be deposited.


As illustrated in FIG. 7G, FG regions 10838 may be lithographically defined and then etched along with plasma/RIE removing portions of oxide layer 10829, future FG regions 10828 and oxide layer 10808 on the second layer of memory 10825 and future FG regions 10828 on the first layer of memory 10823, thus stopping on or partially within oxide layer 10808 of the first memory layer 10823.


As illustrated in FIG. 7H, an inter-poly oxide layer 10850, such as, for example, silicon oxide and silicon nitride layers (ONO: Oxide-Nitride-Oxide), and a Control Gate (CG) gate material 10852, such as, for example, doped or undoped poly-crystalline silicon, may be deposited. The surface may be planarized by chemical mechanical polishing leaving a thinned oxide layer 10829′. As shown in the illustration, this results in the formation of 4 horizontally oriented floating gate memory bit cells with N+ junction-less transistors. Contacts and metal wiring to form well-know memory access/decoding schemes may be processed and a thru layer via (TLV) may be formed to electrically couple the memory access decoding to the acceptor substrate peripheral circuitry via an acceptor wafer metal connect pad.


This flow may enable the formation of a floating gate based 3D memory with one additional masking step per memory layer constructed by layer transfers of wafer sized doped layers of mono-crystalline silicon and this 3D memory may be connected to an underlying multi-metal layer semiconductor device.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 7A through 7H are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, memory cell control lines could be built in a different layer rather than the same layer. Moreover, the stacked memory layers may be connected to a periphery circuit that is above the memory stack. Additionally, each tier of memory could be configured with a slightly different donor wafer N+ layer doping profile. Further, the memory could be organized in a different manner, such as BL and SL interchanged, or these architectures could be modified into a NOR flash memory style, or where buried wiring for the memory array is below the memory layers but above the periphery. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification.


The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-crystalline silicon based memory architectures. The peripheral circuitry substrate 302 may include memory control circuits as well as circuitry for other purposes and of various types, such as, for example, analog, digital, RF, or memory. The peripheral circuitry substrate 302 may include peripheral circuits that can withstand an additional rapid-thermal-anneal (RTA) and still remain operational and retain good performance. For this purpose, the peripheral circuits may be formed such that they have been subject to a partial or weak RTA or no RTA for activating dopants.


As illustrated in FIG. 3E, oxide 322, third Si/SiO2 layer, second Si/SiO2 layer and first Si/SiO2 layer may be lithographically defined and plasma/RIE etched to form a portion of the memory cell structure, which now includes multiple layers of regions of crystallized N+ silicon 321 and oxide 322. Thus, these transistor elements or portions have been defined by a common lithography step, which also may be described as a single lithography step, same lithography step, or one lithography step.


As illustrated in FIG. 3F, a gate dielectric and gate electrode material may be deposited, planarized with a chemical mechanical polish (CMP), and then lithographically defined and plasma/RIE etched to form gate dielectric regions 326 which may either be self-aligned to and covered by gate electrodes 324 (shown), or cover the entire crystallized N+ silicon regions 321 and oxide regions 322 multi-layer structure. The gate stack including gate electrode 324 and gate dielectric 326 may be formed with a gate dielectric, such as thermal oxide, and a gate electrode material, such as poly-crystalline silicon. Alternatively, the gate dielectric may be an atomic layer deposited (ALD) material that is paired with a work function specific gate metal according to an industry standard of high k metal gate process schemes described previously. Furthermore, the gate dielectric may be formed with a rapid thermal oxidation (RTO), a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate electrode such as tungsten or aluminum may be deposited.


As illustrated in FIG. 3G, the entire structure may be covered with a gap fill oxide 330, which may be planarized with chemical mechanical polishing. The oxide 330 is shown transparently in the figure for clarity, along with word-line regions (WL) 332, coupled with and composed of gate electrodes 324, and source-line regions (SL) 334, composed of crystallized N+ silicon regions 328.


As illustrated in FIG. 3H, bit-line (BL) contacts 340 may be lithographically defined, etched with plasma/RIE through oxide 330, the three crystallized N+ silicon regions 328, and associated oxide vertical isolation regions to connect substantially all memory layers vertically, and photoresist removed. Resistance change memory material 336, such as, for example, hafnium oxides or titanium oxides, may then be deposited, preferably with atomic layer deposition (ALD). The electrode for the resistance change memory element may then be deposited by ALD to form the electrode/BL contact 340. The excess deposited material may be polished to planarity at or below the top of oxide 330. Each BL contact 340 with resistive change material 336 may be shared among substantially all layers of memory, shown as three layers of memory in FIG. 3H.



FIG. 8A is a drawing illustration of alternative implementation of the current invention, with Anti Fuses (“AF”s) present in two dielectric layers. Here the functional transistors of the Logic Blocks (“LB”) are defined in the base substrate 8003, with low metal layers 8004 (M1 & M2 in this depiction, can be more as needed) providing connectivity for the definition of the LB. AFs are present in select locations between metal layers of low metal layers 8004 to assist in finalizing the function of the LB. AFs in low metal layers 8004 can also serve to configure clocks and other special signals (e.g., reset) present in layer 8006 for connection to the LB and other special functions that do not require high density programmable connectivity to the configurable interconnect fabric 8007. Additional AF use can be to power on used LBs and unpower unused ones to save on power dissipation of the device.


On top of layer 8006 comes configurable interconnect fabric 8007 with a second Antifuse layer. This connectivity is typically occupying two or four metal layers. Programming of AFs in both layers is done with programming circuitry designed in an Attic TFT layer 8010, or other alternative over the oxide transistors, placed on top of configurable interconnect fabric 8007. Finally, additional metals layers 8012 are deposited on top of Attic TFT layer 8010 to complete the programming circuitry in Attic TFT layer 8010, as well as provide connections to the outside for the FPGA.


The advantage of this alternative implementation is that two layers of AFs provide increased programmability (and hence flexibility) for FPGA, with the lower AF layer close to the base substrate where LB configuration needs to be done, and the upper AF layer close to the metal layers comprising the configurable interconnect.


U.S. Pat. Nos. 5,374,564 and 6,528,391, describe the process of Layer Transfer whereby a few tens or hundreds nanometer thick layer of mono-crystalline silicon from “donor” wafer is transferred on top of a base wafer using oxide-oxide bonding and ion implantation. Such a process, for example, is routinely used in the industry to fabricate the so-called Silicon-on-Insulator (“SOI”) wafers for high performance integrated circuits (“IC”s).


Additionally the substrate 8002 in FIG. 8A is a primary silicon layer 8003 placed on top of an insulator above base substrate 8014 using the abovementioned Layer Transfer process.


In contrast to the typical SOI process where the base substrate carries no circuitry, the current invention suggest to use base substrate 8014 to provide high voltage programming circuits that will program the lower level low metal layers 8004 of AFs. We will use the term “Foundation” to describe this layer of programming devices, in contrast to the “Attic” layer of programming devices placed on top that has been previously described.


The major obstacle to using circuitry in the Foundation is the high temperature potentially needed for Layer Transfer, and the high temperature needed for processing the primary silicon layer 8003. High temperatures in excess of 400° C. that are often needed for implant activation or other processing can cause damage to pre-existing copper or aluminum metallization patterns that may have been previously fabricated in Foundation base substrate 8014. U.S. Patent Application Publication 2009/0224364 proposes using tungsten-based metallization to complete the wiring of the relatively simple circuitry in the Foundation. Tungsten has very high melting temperature and can withstand the high temperatures that may be needed for both for Layer Transfer and for processing of primary silicon layer 8003. Because the Foundation provides mostly the programming circuitry for AFs in low metal layers 8004, its lithography can be less advanced and less expensive than that of the primary silicon layer 8003 and facilitates fabrication of high voltage devices needed to program AFs. Further, the thinness and hence the transparency of the SOI layer facilitates precise alignment of patterning of primary silicon layer 8003 to the underlying patterning of base substrate 8014.


Having two layers of AF-programming devices, Foundation on the bottom and Attic on the top, is an effective way to architect AF-based FPGAs with two layers of AFs. The first AF layer low metal layers 8004 is close to the primary silicon base substrate 8003 that it configures, and its connections to it and to the Foundation programming devices in base substrate 8014 are directed downwards. The second layer of AFs in configurable interconnect fabric 8007 has its programming connections directed upward towards Attic TFT layer 8010. This way the AF connections to its programming circuitry minimize routing congestion across layers 8003, 8004, 8006, and 8007.



FIG. 8B is a drawing illustration of a generalized preprocessed wafer or layer 808. The wafer or layer 808 may have preprocessed circuitry, such as, for example, logic circuitry, microprocessors, circuitry comprising transistors of various types, and other types of digital or analog circuitry including, but not limited to, the various embodiments described herein. Preprocessed wafer or layer 808 may have preprocessed metal interconnects and may be comprised of copper or aluminum. The metal layer or layers of interconnect may be constructed of lower (less than approximately 400° C.) thermal damage resistant metals such as, for example, copper or aluminum, or may be constructed with refractory metals such as tungsten to provide high temperature utility at greater than approximately 400° C. The preprocessed metal interconnects may be designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 808 to the layer or layers to be transferred.


The reference 808 in subsequent figures can be any one of a vast number of combinations of possible preprocessed wafers or layers containing many combinations of transfer layers that fall within the scope of the present invention. The term “preprocessed wafer or layer” may be generic and reference number 808 when used in a drawing figure to illustrate an embodiment of the present invention may represent many different preprocessed wafer or layer types including but not limited to underlying prefabricated layers, a lower layer interconnect wiring, a base layer, a substrate layer, a processed house wafer, an acceptor wafer, a logic house wafer, an acceptor wafer house, an acceptor substrate, target wafer, preprocessed circuitry, a preprocessed circuitry acceptor wafer, a base wafer layer, a lower layer, an underlying main wafer, a foundation layer, an attic layer, or a house wafer.



FIG. 8C is a drawing illustration of a generalized transfer layer 809 prior to being attached to preprocessed wafer or layer 808. Transfer layer 809 may be attached to a carrier wafer or substrate during layer transfer. Preprocessed wafer or layer 808 may be called a target wafer, acceptor substrate, or acceptor wafer. The acceptor wafer may have acceptor wafer metal connect pads or strips designed and prepared for electrical coupling to transfer layer 809. Transfer layer 809 may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 809 may have metal interconnects designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 808. The metal interconnects now on transfer layer 809 may be comprised of copper or aluminum. Electrical coupling from transferred layer 809 to preprocessed wafer or layer 808 may utilize thru layer vias (TLVs) as the connection path. Transfer layer 809 may be comprised of single crystal silicon, or mono-crystalline silicon, or doped mono-crystalline layer or layers, or other semiconductor, metal, and insulator materials, layers; or multiple regions of single crystal silicon, or mono-crystalline silicon, or dope mono-crystalline silicon, or other semiconductor, metal, or insulator materials.



FIG. 8D is a drawing illustration of a preprocessed wafer or layer 808A created by the layer transfer of transfer layer 809 on top of preprocessed wafer or layer 808. The top of preprocessed wafer or layer 808A may be further processed with metal interconnects designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 808A to the next layer or layers to be transferred.



FIG. 8E is a drawing illustration of a generalized transfer layer 809A prior to being attached to preprocessed wafer or layer 808A. Transfer layer 809A may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 809A may have metal interconnects designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 808A.



FIG. 8F is a drawing illustration of a preprocessed wafer or layer 808B created by the layer transfer of transfer layer 809A on top of preprocessed wafer or layer 808A. The top of preprocessed wafer or layer 808B may be further processed with metal interconnects designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 808B to the next layer or layers to be transferred.



FIG. 8G is a drawing illustration of a generalized transfer layer 809B prior to being attached to preprocessed wafer or layer 808B. Transfer layer 809B may be attached to a carrier wafer or substrate during layer transfer. Transfer layer 809B may have metal interconnects designed and prepared for layer transfer and electrical coupling to preprocessed wafer or layer 808B.



FIG. 8H is a drawing illustration of preprocessed wafer layer 808C created by the layer transfer of transfer layer 809B on top of preprocessed wafer or layer 808B. The top of preprocessed wafer or layer 808C may be further processed with metal interconnect designed and prepared for layer transfer and electrical coupling from preprocessed wafer or layer 808C to the next layer or layers to be transferred.



FIG. 8I is a drawing illustration of preprocessed wafer or layer 808C, a 3D IC stack, which may comprise transferred layers 809A and 809B on top of the original preprocessed wafer or layer 808. Transferred layers 809A and 809B and the original preprocessed wafer or layer 808 may comprise transistors of one or more types in one or more layers, metallization such as, for example, copper or aluminum in one or more layers, interconnections to and between layers above and below, and interconnections within the layer. The transistors may be of various types that may be different from layer to layer or within the same layer. The transistors may be in various organized patterns. The transistors may be in various pattern repeats or bands. The transistors may be in multiple layers involved in the transfer layer. The transistors may be junction-less transistors or recessed channel array transistors. Transferred layers 809A and 809B and the original preprocessed wafer or layer 808 may further comprise semiconductor devices such as resistors and capacitors and inductors, one or more programmable interconnects, memory structures and devices, sensors, radio frequency devices, or optical interconnect with associated transceivers. The terms carrier wafer or carrier substrate may also be called holder wafer or holder substrate.


This layer transfer process can be repeated many times, thereby creating preprocessed wafers comprising many different transferred layers which, when combined, can then become preprocessed wafers or layers for future transfers. This layer transfer process may be sufficiently flexible that preprocessed wafers and transfer layers, if properly prepared, can be flipped over and processed on either side with further transfers in either direction as a matter of design choice.


The thinner the transferred layer, the smaller the thru layer via diameter obtainable, due to the limitations of manufacturable via aspect ratios. Thus, the transferred layer may be, for example, less than 2 microns thick, less than 1 micron thick, less than 0.4 microns thick, less than 200 nm thick, or less than 100 nm thick. The thickness of the layer or layers transferred according to some embodiments of the present invention may be designed as such to match and enable the best obtainable lithographic resolution capability of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers.


In many of the embodiments of the present invention, the layer or layers transferred may be of mono-crystalline silicon, and after layer transfer, further processing, such as, for example, plasma/RIE or wet etching, may be done on the layer or layers that may create islands or mesas of the transferred layer or layers of mono-crystalline silicon, the crystal orientation of which has not changed. Thus, a mono-crystalline layer or layers of a certain specific crystal orientation may be layer transferred and then processed whereby the resultant islands or mesas of mono-crystalline silicon have the same crystal specific orientation as the layer or layers before the processing.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 8 through 8I are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the preprocessed wafer or layer 808 may act as a base or substrate layer in a wafer transfer flow, or as a preprocessed or partially preprocessed circuitry acceptor wafer in a wafer transfer process flow. Many other modifications within the scope of the present invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.


An alternative technology for such underlying circuitry is to use the “SmartCut” process. The “SmartCut” process is a well understood technology used for fabrication of SOI wafers. The “SmartCut” process, together with wafer bonding technology, enables a “Layer Transfer” whereby a thin layer of a single or mono-crystalline silicon wafer is transferred from one wafer to another wafer. The “Layer Transfer” could be done at less than 400° C. and the resultant transferred layer could be even less than 100 nm thick. The process with some variations and under different names is commercially available by two companies, namely, Soitec (Crolles, France) and SiGen—Silicon Genesis Corporation (San Jose, Calif.). A room temperature wafer bonding process utilizing ion-beam preparation of the wafer surfaces in a vacuum has been recently demonstrated by Mitsubishi Heavy Industries Ltd., Tokyo, Japan. This process allows room temperature layer transfer.


Alternatively, other technology may also be used. For example, other technologies may be utilized for layer transfer as described in, for example, IBM's layer transfer method shown at IEDM 2005 by A. W. Topol, et. al. The IBM's layer transfer method employs a SOI technology and utilizes glass handle wafers. The donor circuit may be high-temperature processed on an SOI wafer, temporarily bonded to a borosilicate glass handle wafer, backside thinned by chemical mechanical polishing of the silicon and then the Buried Oxide (BOX) is selectively etched off. The now thinned donor wafer is subsequently aligned and low-temperature oxide-to-oxide bonded to the acceptor wafer topside. A low temperature release of the glass handle wafer from the thinned donor wafer is performed, and then thru bond via connections are made. Additionally, epitaxial liftoff (ELO) technology as shown by P. Demeester, et. al, of IMEC in Semiconductor Science Technology 1993 may be utilized for layer transfer. ELO makes use of the selective removal of a very thin sacrificial layer between the substrate and the layer structure to be transferred. The to-be-transferred layer of GaAs or silicon may be adhesively ‘rolled’ up on a cylinder or removed from the substrate by utilizing a flexible carrier, such as, for example, black wax, to bow up the to-be-transferred layer structure when the selective etch, such as, for example, diluted Hydrofluoric (HF) Acid, etches the exposed release layer, such as, for example, silicon oxide in SOI or AlAs. After liftoff, the transferred layer is then aligned and bonded to the acceptor substrate or wafer. The manufacturability of the ELO process for multilayer layer transfer use was recently improved by J. Yoon, et. al., of the University of Illinois at Urbana-Champaign as described in Nature May 20, 2010. Canon developed a layer transfer technology called ELTRAN—Epitaxial Layer TRANsfer from porous silicon. ELTRAN may be utilized. The Electrochemical Society Meeting abstract No. 438 from year 2000 and the JSAP International July 2001 paper show a seed wafer being anodized in an HF/ethanol solution to create pores in the top layer of silicon, the pores are treated with a low temperature oxidation and then high temperature hydrogen annealed to seal the pores. Epitaxial silicon may then be deposited on top of the porous silicon and then oxidized to form the SOI BOX. The seed wafer may be bonded to a handle wafer and the seed wafer may be split off by high pressure water directed at the porous silicon layer. The porous silicon may then be selectively etched off leaving a uniform silicon layer.



FIG. 9 illustrate the main wafer 3100 with its alignment mark 3120 and the transferred layer 3000 of the donor wafer 3000 with its alignment mark 3020. The misalignment in the East-West direction is DX 3124 and the misalignment in the North-South direction is DY 3122. For simplicity of the following explanations, the alignment marks 3120 and 3020 may be assumed set so that the alignment mark of the transferred layer 3020 is always north of the alignment mark of the base wafer 3120, though the cases where alignment mark 3020 is either perfectly aligned with (within tolerances) or south of alignment mark 3120 are handled in an appropriately similar manner. In addition, these alignment marks may be placed in only a few locations on each wafer, within each step field, within each die, within each repeating pattern W, or in other locations as a matter of design choice.


In the construction of this described monolithic 3D Integrated Circuits the objective is to connect structures built on layer 3000 to the underlying main wafer 3100 and to structures on 808 layers at about the same density and accuracy as the connections between layers in 808, which may need alignment accuracies on the order of tens of nm or better.


Additionally, when circuit cells are built on two or more layers of thin silicon, and enjoy the dense vertical through silicon via interconnections, the metallization layer scheme to take advantage of this dense 3D technology may be improved as follows. FIG. 10A illustrates the prior art of silicon integrated circuit metallization schemes. The conventional transistor silicon layer 2402 is connected to the first metal layer 2410 thru the contact 2404. The dimensions of this interconnect pair of contact and metal lines generally are at the minimum line resolution of the lithography and etch capability for that technology process node. Traditionally, this is called a “1×’ design rule metal layer. Usually, the next metal layer is also at the “1×’ design rule, the metal line 2412 and via below 2405 and via above 2406 that connects metal line 2412 with 2410 or with 2414 where desired. Then the next few layers are often constructed at twice the minimum lithographic and etch capability and called ‘2×’ metal layers, and have thicker metal for current carrying capability. These are illustrated with metal line 2414 paired with via 2407 and metal line 2416 paired with via 2408 in FIG. 10A. Accordingly, the metal via pairs of 2418 with 2409, and 2420 with bond pad opening 2422, represent the ‘4×’ metallization layers where the planar and thickness dimensions are again larger and thicker than the 2× and 1× layers. The precise number of 1× or 2× or 4× layers may vary depending on interconnection needs and other requirements; however, the general flow is that of increasingly larger metal line, metal space, and via dimensions as the metal layers are farther from the silicon transistors and closer to the bond pads.


The metallization layer scheme may be improved for 3D circuits as illustrated in FIG. 10B. The first crystallized silicon device layer 2454 is illustrated as the NMOS silicon transistor layer from the above 3D library cells, but may also be a conventional logic transistor silicon substrate or layer. The ‘1×’ metal layers 2450 and 2449 are connected with contact 2440 to the silicon transistors and vias 2438 and 2439 to each other or metal 2448. The 2× layer pairs metal 2448 with via 2437 and metal 2447 with via 2436. The 4× metal layer 2446 is paired with via 2435 and metal 2445, also at 4×. However, now via 2434 is constructed in 2× design rules to enable metal line 2444 to be at 2×. Metal line 2443 and via 2433 are also at 2× design rules and thicknesses. Vias 2432 and 2431 are paired with metal lines 2442 and 2441 at the 1× minimum design rule dimensions and thickness. The thru silicon via 2430 of the illustrated PMOS layer transferred silicon layer 2452 may then be constructed at the 1× minimum design rules and provide for maximum density of the top layer. The precise numbers of 1× or 2× or 4× layers may vary depending on circuit area and current carrying metallization requirements and tradeoffs. However, the pitch, line-space pair, of a 1× layer is less than the pitch of a 2× layer which is less than the pitch of the 4× layer. The illustrated PMOS layer transferred silicon layer 2452 may be any of the low temperature devices illustrated herein.



FIG. 11A is a drawing illustration of extending the structure of an 8×12 array 9402. This can be extended as in FIG. 11B to fill a full reticle sized area 9403. Accordingly a specific custom device may be diced from the previously generic wafer. The custom dice lines may be created by etching away some of the structures such as transistors of the continuous array as illustrated in FIG. 11C. This custom function etching may have a shape of multiple thin strips 9404 created by a custom mask, such as a dicing line mask, to etch away a portion of the devices. Thus custom forming logic function, blocks, arrays, or devices 9406 (for clarity, not all possible blocks are labeled). A portion of these logic functions, blocks, arrays, or devices 9406 may be interconnected horizontally with metallization and may be connected to circuitry above and below using TSV or utilizing the monolithic 3D variation, including the embodiments in this document. This custom function alternative has some advantages relative to the use of the previously described potential dice lines, such as, the saving of the allocated area for the unused dice lines and the saving of the mask and the processing of the interconnection over the unused dice lines. However, in both variations substantial savings would be achieved relative to the state of the art. The state of art for FPGA vendors, as well as some other products, is that for a product release for a specific process node more than ten variations would be offered by the vendor. These variations use the same logic fabric applied to different devices sizes offering various amount of logic. In many cases, the variation also includes the amount of memories and I/O cells. State of the art IC devices require more than 30 different masks at a typical total mask set cost of a few million dollars. For a vendor to offer the multiple device option, it would require substantial investment in multiple mask sets. The current invention allows the use of a generic continuous array and then a customization process would be applied to construct multiple device sizes out of the same mask set. Therefore, for example, a continuous array as illustrated in FIG. 11B is customized to a specific device size by etching the multiple thin strips 9404 as illustrated in FIG. 11C. This could be done to various types of continuous terrains as illustrated in FIG. 11D-11E having array of Random Access Memory (“RAM”) 8303 or array of Dynamic Random Access Memory (“DRAM”) 8304. Accordingly, wafers may be processed using one generic mask set of more than ten masks and then multiple device offerings may be constructed by few custom function masks which would define specific sizes out of the generic continues array structure. And, accordingly, the wafer may then be diced to a different size for each device offering.


The concept of customizing a Continuous Array can be also applied to logic, memory, I/O and other structures. Memory arrays have non-repetitive elements such as bit and word decoders, or sense amplifiers, which need to be tailored to each memory size. An embodiment of the present invention is to tile substantially the entire wafer with a dense pattern of memory cells, and then customize it using selective etching as before, and providing the required non-repetitive structures through an adjacent logic layer below or above the memory layer. FIG. 11F is a drawing illustration of a typical 6-transistor SRAM cell 9520, with its word line 9522, bit line 9524 and bit line inverse 9526. Such a bit cell is typically densely packed and highly optimized for a given process. A dense SRAM array 9530 may be constructed of a plurality of 6-transistor SRAM cell 9520 as illustrated in FIG. 11G. A four by four array 9532 may be defined through custom etching away the cells in channel 9534, leaving bit lines 9536 and word lines 9538 unconnected. These word lines 9538 may be then connected to an adjacent logic layer below or above that may have a word decoder 9550 (depicted in FIG. 11H) that may drive them through outputs 9552. Similarly, the bit lines 9536 may be driven by another decoder such as bit line decoder 9560 (depicted in FIG. 11I) through its outputs 9562. A sense amplifier 9568 is also shown. A critical feature of this approach is that the customized logic, such as word decoder 9550, bit line decoder 9560, and sense amplifier 9568, may be provided from below or above the memory layer/devices in close vertical proximity to the area where it is needed, thus assuring high performance customized memory blocks.


One method to solve the issue of high-temperature source-drain junction processing is to make transistors without junctions i.e. Junction-Less Transistors (JLTs). An embodiment of this invention uses JLTs as a building block for 3D stacked semiconductor circuits and chips. JLT has a very small channel area (typically less than 20 nm on one side), so the gate can deplete the channel of charge carriers at OV and turn it off. Further details of the JLT can be found in “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, pp. 053511 2009 by C.-W. Lee, A. Afzalian, N. Dehdashti Akhavan, R. Yan, I. Ferain and J. P. Colinge (“C-W. Lee”). Contents of this publication are incorporated herein by reference.



FIG. 12A-E describes a process flow for constructing 3D stacked circuits and chips using JLTs as a building block. The process flow may comprise several steps, as described in the following sequence:


Step (A): The bottom layer of the 3D stack is processed with transistors and wires. This is indicated in the figure as bottom layer of transistors and wires 502. Above this, a silicon dioxide layer 504 is deposited. FIG. 12A shows the structure after Step (A) is completed.


Step (B): A layer of n+Si 506 is transferred atop the structure shown after Step (A). It starts by taking a donor wafer which is already n+ doped and activated. Alternatively, the process can start by implanting a silicon wafer and activating at high temperature forming an n+ activated layer, which may be conductive or semi-conductive. Then, H+ ions are implanted for ion-cut within the n+ layer. Following this, a layer transfer is performed. The process as shown in FIG. 1A-D and FIG. 2 is utilized for transferring and ion-cut of the layer forming the structure of FIG. 12A. FIG. 12B illustrates the structure after Step (B) is completed.


Step (C): Using lithography (litho) and etch, the n+Si layer is defined and is present only in regions where transistors are to be constructed. These transistors are aligned to the underlying alignment marks embedded in bottom layer of transistors and wires 502. FIG. 12C illustrates the structure after Step (C) is completed, showing structures of the gate dielectric material 511 and gate electrode material 509 as well as structures of the n+ silicon region 507 after Step (C).


Step (D): The gate dielectric material 510 and the gate electrode material 508 are deposited, following which a CMP process is utilized for planarization. The gate dielectric material 510 could be hafnium oxide. Alternatively, silicon dioxide can be used. Other types of gate dielectric materials such as Zirconium oxide can be utilized as well. The gate electrode material could be Titanium Nitride. Alternatively, other materials such as TaN, W, Ru, TiAlN, polysilicon could be used. FIG. 12D illustrates the structure after Step (D) is completed.


Step (E): Litho and etch are conducted to leave the gate dielectric material and the gate electrode material only in regions where gates are to be formed. FIG. 12E illustrates the structure after Step (E) is completed. Final structures of the gate dielectric material 511 and gate electrode material 509 are shown.


Step (F): An oxide layer is deposited and polished with CMP. This oxide region serves to isolate adjacent transistors. Following this, rest of the process flow continues, where contact and wiring layers could be formed.


Note that top-level transistors are formed well-aligned to bottom-level wiring and transistor layers. Since the top-level transistor layers are made very thin (preferably less than 200 nm), the lithography equipment can see through these thin silicon layers and align to features at the bottom-level. While the process flow shown in FIG. 12A-12E gives the key steps involved in forming a JLT for 3D stacked circuits and chips, it is conceivable to one skilled in the art that changes to the process can be made. For example, process steps and additional materials/regions to add strain to junction-less transistors can be added or a p+ silicon layer could be used. Furthermore, more than two layers of chips or circuits can be 3D stacked.



FIG. 13A-13D shows that JLTs that can be 3D stacked fall into four categories based on the number of gates they use: One-side gated JLTs as shown in FIG. 13A, two-side gated JLTs as shown in FIG. 13B, three-side gated JLTs as shown in FIG. 13C, and gate-all-around JLTs as shown in FIG. 13D. The JLT shown in FIG. 12A-E falls into the three-side gated JLT category. As the number of JLT gates increases, the gate gets more control of the channel, thereby reducing leakage of the JLT at OV. Furthermore, the enhanced gate control can be traded-off for higher doping (which improves contact resistance to source-drain regions) or bigger JLT cross-sectional areas (which is easier from a process integration standpoint).


Lithography costs for semiconductor manufacturing today form a dominant percentage of the total cost of a processed wafer. In fact, some estimates describe lithography cost as being more than 50% of the total cost of a processed wafer. In this scenario, reduction of lithography cost is very important.



FIG. 13E-13I describes an embodiment of this invention, where a process flow is described in which a single lithography step is shared among many wafers. Although the process flow is described with respect to a side gated mono-crystalline junction-less transistor, it will be obvious to one with ordinary skill in the art that it can be modified and applied to other types of transistors, such as, for example, FINFETs and planar CMOS MOSFETs. The process flow for the silicon chip may include the following steps that occur in sequence from Step (A) to Step (I). When the same reference numbers are used in different drawing figures (among FIG. 13E-13I), they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.


Step (A) A p− Silicon wafer is taken.


Step (B) N+ and p+ dopant regions may be implanted into the p− Silicon wafer. A thermal anneal, such as, for example, rapid, furnace, spike, or laser may then be done to activate dopants. Following this, a lithography and etch process may be conducted to define p− silicon substrate region 6004 and n+ silicon region 6006 as is illustrated in FIG. 13E. Regions with p+ silicon where p-JLTs are fabricated are not shown.


Step (C) is illustrated with FIG. 13F. Gate dielectric regions 6010 and gate electrode regions 6008 may be formed by oxidation or deposition of a gate dielectric, then deposition of a gate electrode, polishing with CMP and then lithography and etch. The gate electrode regions 6008 are preferably doped polysilicon. Alternatively, various hi-k metal gate (HKMG) materials could be utilized for gate dielectric and gate electrode.


Step (D) Silicon dioxide regions 6012 may be formed by deposition and may then be planarized and polished with CMP such that the silicon dioxide regions 6012 cover p− silicon substrate region 6004, n+ silicon regions 6006, gate electrode regions 6008 and gate dielectric regions 6010.


Step (E) as is illustrated with FIG. 13G. The structure may be further polished with CMP such that portions of silicon dioxide regions 6012, gate electrode regions 6008, gate dielectric regions 6010 and n+ silicon regions 6006 are polished. Following this, a silicon dioxide layer may be deposited over the structure.


Step (F) Hydrogen H+ may be implanted into the structure at a certain depth creating hydrogen plane 6014 indicated by dotted lines.


Step (G) A silicon wafer 6018 may have an oxide layer 6016 deposited atop it. Step (H) as is illustrated with FIG. 13H. The structure may be flipped and bonded atop the structure shown in FIG. 13F using oxide-to-oxide bonding.


Step (I) is illustrated with FIG. 13I. The structure shown in FIG. 13H may be cleaved at hydrogen plane 6014 using a sideways mechanical force. Alternatively, a thermal anneal, such as, for example, furnace or spike, could be used for the cleave process. Following the cleave process, CMP steps may be done to planarize surfaces. FIG. 13I shows silicon wafer 6018 having an oxide layer 6016 and patterned features transferred atop it. These patterned features may include gate dielectric regions 6024, gate electrode regions 6022, n+ silicon channel 6020 and silicon dioxide regions 6026. These patterned features may be used for further fabrication, with contacts, interconnect levels and other steps of the fabrication flow being completed. Implanting hydrogen through the gate dielectric regions 6010 may not degrade the dielectric quality, since the area exposed to implant species is small (a gate dielectric is typically 2 nm thick, and the channel length is typically <20 nm, so the exposed area to the implant species is just 40 sq. nm). Additionally, a thermal anneal or oxidation after the cleave may repair the potential implant damage. Also, a post-cleave CMP polish to remove the hydrogen rich plane within the gate dielectric may be performed.


An alternative embodiment of this invention may involve forming a dummy gate transistor structure, for the structure shown in FIG. 13F. Post cleave, the gate electrode regions 6022 and the gate dielectric regions 6024 material may be etched away and then the trench may be filled with a replacement gate dielectric and a replacement gate electrode.


In an alternative embodiment of the invention described in FIG. 13E-13I, the silicon wafer 6018 in FIG. 13H may be a wafer with one or more pre-fabricated transistor and interconnect layers. Low temperature (less than approximately 400° C.) bonding and cleave techniques as previously described may be employed. In that scenario, 3D stacked logic chips may be formed with fewer lithography steps. Alignment schemes similar to those described may be used.


An alternative embodiment of the above double gate process flow that may provide a back gate in a face-up flow is illustrated in FIGS. 13J to 13M. The CMOS planar transistors may be fabricated with the dummy gates and cleave plane may be created in the donor wafer, bulk or SOI. The donor wafer may be attached either permanently or temporarily to the carrier substrate and then cleaved and thinned to the STI 7002. Alternatively, the CMP could continue to the bottom of the junctions to create a fully depleted SOI layer.


A second gate oxide 8502 may be grown or deposited as illustrated in FIG. 13J and a gate material 8504 may be deposited. The gate oxide 8502 and gate material 8504 may be formed with low temperature (e.g., less than 400° C.) materials and processing, such as previously described TEL SPA gate oxide and amorphous silicon, ALD techniques, or hi-k metal gate stack (HKMG), or may be formed with a higher temperature gate oxide or oxynitride and doped polysilicon if the carrier substrate bond is permanent and the existing planar transistor dopant movement is accounted for.


The gate stack 8506 may be defined, a dielectric 8508 may be deposited and planarized, and then local contacts 8510 and layer to layer contacts 8512 and metallization 8516 may be formed as illustrated in FIG. 13K.


As shown in FIG. 13L, the thin mono-crystalline donor and carrier substrate stack may be prepared for layer transfer by methods previously described including oxide layer 8520. Similar surface preparation may be performed on house 808 acceptor wafer in preparation for oxide-to-oxide bonding. Now a low temperature (e.g., less than 400° C.) layer transfer flow may be performed, as illustrated in FIG. 13L, to transfer the thinned and first-phase-transistor-formation-pre-processed HKMG silicon layer 7001 and back gates 8506 with attached carrier substrate 7014 to the acceptor wafer 808. The acceptor wafer 808 may have a top metallization comprising metal strips 8124 to act as landing pads for connection between the circuits formed on the transferred layer with the underlying circuit layers 808.


The carrier substrate 7014 may then be released at surface 7016 as previously described.


The bonded combination of acceptor wafer 808 and HKMG transistor silicon layer 7001 may now be ready for normal state of the art gate-last transistor formation completion as illustrated in FIG. 13M and connection to the acceptor wafer House 808 thru layer to layer via 7040. The top transistor 8550 may be back gated by connecting the top gate to the bottom gate thru gate contact 7034 to metal line 8536 and to contact 8522 to connect to the donor wafer layer through layer contact 8512. The top transistor 8552 may be back biased by connecting metal line 8516 to a back bias circuit that may be in the top transistor level or in the House 808. Moreover, SOT wafers with etchback of the bulk silicon to the buried oxide layer may be utilized in place of an ion-cut layer transfer scheme.


There are a few alternative methods to construct the top transistors precisely aligned to the underlying pre-fabricated layers such as pre-processed wafer or layer 808, utilizing “SmartCut” layer transfer and not exceeding the temperature limit, typically approximately 400° C., of the underlying pre-fabricated structure, which may include low melting temperature metals or other construction materials such as, for example, aluminum or copper. As the layer transfer is less than 200 nm thick, then the transistors defined on it could be aligned precisely to the top metal layer of the pre-processed wafer or layer 808 as may be needed and those transistors have less than 40 nm misalignment as well as thru layer via, or layer to layer metal connection, diameters of less than 50 nm. The thinner the transferred layer, the smaller the thru layer via diameter obtainable, due to the limitations of manufacturable via aspect ratios. Thus, the transferred layer may be, for example, less than 2 microns thick, less than 1 micron thick, less than 0.4 microns thick, less than 200 nm thick, or less than 100 nm thick.


An additional embodiment of the present invention may be a modified TSV (Through Silicon Via) flow. This flow may be for wafer-to-wafer TSV and may provide a technique whereby the thickness of the added wafer may be reduced to about 1 micrometer (micron). FIGS. 14A to 14D illustrate such a technique. The first wafer 9302 may be the base on top of which the ‘hybrid’ 3D structure may be built. A second wafer 9304 may be bonded on top of the first wafer 9302. The new top wafer may be face-down so that the circuits 9305 may be face-to-face with the first wafer 9302 circuits 9303.


The bond may be oxide-to-oxide in some applications or copper-to-copper in other applications. In addition, the bond may be by a hybrid bond wherein some of the bonding surface may be oxide and some may be copper.


After bonding, the top wafer 9304 may be thinned down to about 60 micron in a conventional back-lap and CMP process. FIG. 14B illustrates the now thinned wafer 9306 bonded to the first wafer 9302.


The next step may comprise a high accuracy measurement of the top wafer 9306 thickness. Then, using a high power 1-4 MeV H+ implant, a cleave plane 9310 may be defined in the top wafer 9306. The cleave plane 9310 may be positioned approximately 1 micron above the bond surface as illustrated in FIG. 14C. This process may be performed with a special high power implanter such as, for example, the implanter used by SiGen Corporation for their PV (PhotoVoltaic) application.


Having the accurate measure of the top wafer 9306 thickness and the highly controlled implant process may enable cleaving most of the top wafer 9306 out thereby leaving a very thin layer 9312 of about 1 micron, bonded on top of the first wafer 9302 as illustrated in FIG. 14D.


An advantage of this process flow may be that an additional wafer with circuits could now be placed and bonded on top of the bonded structure 9322 in a similar manner. But first a connection layer may be built on the back of 9312 to allow electrical connection to the bonded structure 9322 circuits. Having the top layer thinned to a single micron level may allow such electrical connection metal layers to be fully aligned to the top wafer 9312 electrical circuits 9305 and may allows the vias through the back side of top layer 9312 to be relatively small, of about 100 nm in diameter.


The thinning of the top layer 9312 may enable the modified TSV to be at the level of 100 nm vs. the 5 microns necessary for TSVs that need to go through 50 microns of silicon. Unfortunately the misalignment of the wafer-to-wafer bonding process may still be quite significant at about +1-0.5 micron. Accordingly, a landing pad of approximately 1×1 microns may be used on the top of the first wafer 9302 to connect with a small metal contact on the face of the second wafer 9304 while using copper-to-copper bonding. This process may represent a connection density of approximately 1 connection per 1 square micron.


It may be desirable to increase the connection density using a concept as illustrated in FIG. 8A and the associated explanations. In the modified TSV case, it may be much more challenging to do so because the two wafers being bonded may be fully processed and once bonded, only very limited access to the landing strips may be available. However, to construct a via, etching through all layers may be needed.


Additionally, a vertical gate all around junction-less transistor may be constructed as illustrated in FIGS. 15 and 16. The donor wafer preprocessed for the general layer transfer process is illustrated in FIG. 15. FIG. 15A is a drawing illustration of a pre-processed wafer used for a layer transfer. An N− wafer 5402 is processed to have a layer of N+ 5404, by ion implantation and activation, or an N+ epitaxial growth. FIG. 15B is a drawing illustration of the pre-processed wafer made ready for a conductive bond layer transfer by a deposition of a conductive barrier layer 5410 such as TiN or TaN and by an implant of an atomic species, such as H+, preparing the SmartCut cleaving plane 5412 in the lower part of the N+5404 region.


The acceptor wafer or house 808 is also prepared with an oxide pre-clean and deposition of a conductive barrier layer 5416 and Al and Ge layers to form a Ge—Al eutectic bond 5414 during a thermo-compressive wafer to wafer bonding as part of the layer-transfer-flow, thereby transferring the pre-processed single crystal silicon of FIG. 15B with an N+ layer 5404, on top of acceptor wafer or house 808, as illustrated in FIG. 15C. The N+ layer 5404 may be polished to remove damage from the cleaving procedure. Thus, a conductive path is made from the acceptor wafer or house 808 top metal layers 5420 to the N+ layer 5404 of the transferred donor wafer. Alternatively, the Al—Ge eutectic layer 5414 may be made with copper and a copper-to-copper or copper-to-barrier layer thermo-compressive bond is formed. Likewise, a conductive path from donor wafer to acceptor wafer or house 808 may be made by house top metal lines 5420 of copper with associated barrier metal thermo-compressively bonded with the copper layer 5410 directly, where a majority of the bonded surface is donor copper to house oxide bonds and the remainder of the surface is donor copper to acceptor wafer or house 808 copper and barrier metal bonds.



FIGS. 16A-16E are drawing illustrations of the formation of a vertical gate-all-around junction-less transistor utilizing the above preprocessed acceptor wafer or house 808 of FIG. 15C. FIG. 16A illustrates the deposition of a CMP and plasma etch stop layer 5502, such as low temperature SiN, on top of the N+ layer 5504. For simplicity, the barrier clad Al—Ge eutectic layers 5410, 5414, and 5416 of FIG. 15C are represented by one illustrated layer 5500.


Similarly, FIGS. 16B-D are drawn as an orthographic projection to illustrate some process and topographical details. The junction-less transistor illustrated is square shaped when viewed from the top, but may be constructed in various rectangular shapes to provide different transistor channel thicknesses, widths, and gate control effects. In addition, the square shaped transistor illustrated may be intentionally formed as a circle when viewed from the top and hence form a vertical cylinder shape, or it may become that shape during processing subsequent to forming the vertical towers. The vertical transistor towers 5506 are mask defined and then plasma/Reactive-ion Etching (RIE) etched thru the Chemical Mechanical Polishing (CMP) stop layer 5502, N+ transistor channel layer 5504, the metal bonding layer 5500, and down to the acceptor wafer or house 808 oxide, and then the photoresist is removed, as illustrated in FIG. 16B. This definition and etch now creates N+ transistor channel stacks that are electrically isolated from each other yet the bottom of N+ layer 5404 is electrically connected to the house metal layer 5420.


The area between the towers is then partially filled with oxide 5510 via a Spin On Glass (SPG) spin, low temperature cure, and etch back sequence as illustrated in FIG. 16C. Alternatively, a low temperature CVD gap fill oxide may be deposited, then Chemically Mechanically Polished (CMP'ed) flat, and then selectively etched back to achieve the same shaped 5510 as shown in FIG. 16C. Alternatively, this step may also be accomplished by a conformal low temperature oxide CVD deposition and etch back sequence, creating a spacer profile coverage of the N+ resistor tower layer 5504.


Next, the sidewall gate oxide 5514 is formed by a low temperature microwave oxidation technique, such as the TEL SPA (Tokyo Electron Limited Slot Plane Antenna) oxygen radical plasma, stripped by wet chemicals such as dilute HF, and grown again 5514 as illustrated in FIG. 16C.


The gate electrode is then deposited, such as a P+ doped amorphous silicon layer 5518, then Chemically Mechanically Polished (CMP'ed) flat, and then selectively etched back to achieve the shape 5518 as shown in FIG. 16D, and then the gate mask photoresist 5520 may be defined as illustrated in FIG. 16D.


The gate layer 5518 is etched such that the gate layer is fully cleared from between the towers and then the photoresist is stripped as illustrated in FIG. 16E.


The spaces between the towers are filled and the towers are covered with oxide by low temperature gap fill deposition, CMP, then another oxide deposition as illustrated in FIG. 16E.


In FIG. 16E, the contacts to the transistor channel tower N+ 5504 are masked and etched, and then the contacts 5518 to the gate electrode 5518 are masked and etch. The metal lines 5540 are mask defined and etched, filled with barrier metals and copper interconnect, and CMP'ed in a normal Dual Damascene interconnect scheme, thereby completing the contact via connections to the transistor channel tower N+ 5504 and the gate electrode 5518 as illustrated in FIG. 16E.


This flow enables the formation of mono-crystalline silicon top vertical junction-less transistors that are connected to the underlying multi-metal layer semiconductor device without exposing the underlying devices and interconnect metals to high temperature. These junction-less transistors may be used as programming transistors on acceptor wafer or house 808 or as a pass transistor for logic or FPGA use, or for additional uses in a 3D semiconductor device.


A family of vertical devices can also be constructed as top transistors that are precisely aligned to the underlying pre-fabricated acceptor wafer or house 808. These vertical devices have implanted and annealed single crystal silicon layers in the transistor by utilizing the “SmartCut” layer transfer process that does not exceed the temperature limit of the underlying pre-fabricated structure. For example, vertical style MOSFET transistors, floating gate flash transistors, floating body DRAM, thyristor, bipolar, and Schottky gated JFET transistors, as well as memory devices, can be constructed. Junction-less transistors may also be constructed in a similar manner. The gates of the vertical transistors or resistors may be controlled by memory or logic elements such as MOSFET, DRAM, SRAM, floating flash, anti-fuse, floating body devices, etc. that are in layers above or below the vertical device, or in the same layer. As an example, a vertical gate-all-around n-MOSFET transistor construction is described below.


A planar n-channel junction-less recessed channel array transistor (JLRCAT) suitable for a 3D IC may be constructed. The JLRCAT may provide an improved source and drain contact resistance, thereby allowing for lower channel doping, and the recessed channel may provide for more flexibility in the engineering of channel lengths and characteristics, and increased immunity from process variations.


As illustrated in FIG. 17A, an N− substrate donor wafer 15100 may be processed to include wafer sized layers of N+ doping 15102, and N− doping 15103 across the wafer. The N+ doped layer 15102 may be formed by ion implantation and thermal anneal. In addition, N− doped layer 15103 may have additional ion implantation and anneal processing to provide a different dopant level than N− substrate 15100. N− doped layer 15103 may also have graded N− doping to mitigate transistor performance issues, such as, for example, short channel effects, after the formation of the JLRCAT. The layer stack may alternatively be formed by successive epitaxially deposited doped silicon layers of N+ doping 15102 and N− doping 15103, or by a combination of epitaxy and implantation. Annealing of implants and doping may utilize optical annealing techniques or types of Rapid Thermal Anneal (RTA or spike).


As illustrated in FIG. 17B, the top surface of donor wafer 15100 layers stack from FIG. 17A may be prepared for oxide wafer bonding with a deposition of an oxide to form oxide layer 15101 on top of N-doped layer 15103. A layer transfer demarcation plane (shown as dashed line) 15104 may be formed by hydrogen implantation, co-implantation such as hydrogen and helium, or other methods as previously described.


As illustrated in FIG. 17C, both the donor wafer 15100 and acceptor substrate 808 may be prepared for wafer bonding as previously described and then low temperature (less than approximately 400° C.) aligned and oxide to oxide bonded. Acceptor substrate 808, as described previously, may include, for example, transistors, circuitry, metal, such as, for example, aluminum or copper, interconnect wiring, and thru layer via metal interconnect strips or pads. The portion of the donor wafer 15100 and N+ doped layer 15102 that is below the layer transfer demarcation plane 15104 may be removed by cleaving or other processes as previously described, such as, for example, ion-cut or other methods. Oxide layer 15101, N− layer 15103, and N+ doped layer 15122 have been layer transferred to acceptor wafer 808. Now JLRCAT transistors may be formed with low temperature (less than approximately 400° C.) processing and may be aligned to the acceptor wafer 808 alignment marks (not shown).


As illustrated in FIG. 17D, the transistor isolation regions 15105 may be formed by mask defining and then plasma/RIE etching N+ doped layer 15122, and N− layer 15103 to the top of oxide layer 15101 or into oxide layer 15101. Then a low-temperature gap fill oxide may be deposited and chemically mechanically polished, with the oxide remaining in isolation regions 15105. Then the recessed channel 15106 may be mask defined and etched thru N+ doped layer 15122 and partially into N− doped layer 15103. The recessed channel 15106 surfaces and edges may be smoothed by processes such as, for example, wet chemical, plasma/RIE etching, low temperature hydrogen plasma, or low temperature oxidation and strip techniques, to mitigate high field and other effects. These process steps may form isolation regions 15105, N+ source and drain regions 15132 and N− channel region 15123.


As illustrated in FIG. 17E, a gate dielectric 15107 may be formed and a gate metal material may be deposited. The gate dielectric 15107 may be an atomic layer deposited (ALD) gate dielectric that is paired with a work function specific gate metal in the industry standard high k metal gate process schemes described previously. Or the gate dielectric 15107 may be formed with a low temperature oxide deposition or low temperature microwave plasma oxidation of the silicon surfaces and then a gate metal material such as, for example, tungsten or aluminum may be deposited. Then the gate metal material may be chemically mechanically polished, and the gate area defined by masking and etching, thus forming gate electrode 15108.


A low temperature thick oxide 15109 may be deposited and planarized, and source, gate, and drain contacts, and thru layer via (not shown) openings may be masked and etched, thereby preparing the transistors to be connected via metallization. Thus gate contact 15111 connects to gate electrode 15108, and source & drain contacts 15110 connect to N+ source and drain regions 15132. Thru layer vias (not shown) may be formed to connect to the acceptor substrate connect strips (not shown) as previously described.


The junction-less transistor channel may be constructed with even, graded, or discrete layers of doping. The channel may be constructed with materials other than doped mono-crystalline silicon, such as poly-crystalline silicon, or other semi-conducting, insulating, or conducting material, such as graphene or other graphitic material, and may be in combination with other layers of similar or different material. For example, the center of the channel may comprise a layer of oxide, or of lightly doped silicon, and the edges more heavily doped single crystal silicon. This may enhance the gate control effectiveness for the off state of the resistor, and may also increase the on-current due to strain effects on the other layer or layers in the channel Strain techniques may also be employed from covering and insulator material above, below, and surrounding the transistor channel and gate. Lattice modifiers may also be employed to strain the silicon, such as an embedded SiGe implantation and anneal. The cross section of the transistor channel may be rectangular, circular, or oval shaped, to enhance the gate control of the channel. Alternatively, to optimize the mobility of the P-channel junction-less transistor in the 3D layer transfer method, the donor wafer may be rotated 90 degrees with respect to the acceptor wafer prior to bonding to facilitate the creation of the P-channel in the <110> silicon plane direction.


Alternatively, the wafer that becomes the bottom wafer in FIG. 15C may be constructed wherein the N+ layer 5504 may be formed with heavily doped polysilicon a. The bottom wafer N+ silicon or polysilicon layer 5504 will eventually become the top-gate of the junction-less transistor.


Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 17A through 17E are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, a p-channel JLRCAT may be formed with changing the types of dopings appropriately. Moreover, the substrate 15100 may be p type as well as the n type described above. Further, N-doped layer 15103 may include multiple layers of different doping concentrations and gradients to fine tune the eventual JLRCAT channel for electrical performance and reliability characteristics, such as, for example, off-state leakage current and on-state current. Furthermore, isolation regions 15105 may be formed by a hard mask defined process flow, wherein a hard mask stack, such as, for example, silicon oxide and silicon nitride layers, or silicon oxide and amorphous carbon layers. Moreover, CMOS JLRCATs may be constructed with n-JLRCATs in one mono-crystalline silicon layer and p-JLRCATs in a second mono-crystalline layer, which may include different crystalline orientations of the mono-crystalline silicon layers, such as for example, <100>, <111> or <551>, and may include different contact silicides for optimum contact resistance to p or n type source, drains, and gates. Furthermore, a back-gate or double gate structure may be formed for the JLRCAT and may utilize techniques described elsewhere in this document. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.


The topside view of the 3D NAND-8 cell, with no metal shown and with horizontal NMOS and PMOS devices, is illustrated in Y cross sectional view is illustrated in FIG. 18A. The NAND-8 cell with vertical PMOS and horizontal NMOS devices are shown in 18B for the X cross section view. The same reference numbers are used for analogous structures in the embodiment shown in FIGS. 18A through 18D. The eight PMOS sources 6311 are tied together in the PMOS silicon layer and to the V+ supply metal 6316 in the PMOS metal 1 layer thru P+ to Metal contacts. The NMOS A drain and the PMOS A drain are tied 6313 together with a thru P+ to N+ contact 6317 and to the output Y supply metal 6315 in PMOS metal 2, and also connected to substantially all of the PMOS drain contacts thru PMOS metal 1 6315. Input A on PMOS metal 2 6314 is tied 6303 to both the PMOS A gate and the NMOS A gate with a PMOS gate on STI to NMOS gate on STI contact 6314. Substantially all the other inputs are tied to P and N gates in similar fashion. The NMOS A source and the NMOS B drain are tied together 6320 in the NMOS silicon layer. The NMOS H source 6232 is tied connected to the ground line 6318 by a contact to NMOS metal 1 and to the back plane N+ ground layer. The transistor isolation oxides 6300 are illustrated.


A compact 3D CMOS 8 Input NOR may be constructed as illustrated in FIGS. 18C thru 18D. The PMOS transistor source 6411 may be tied to V+ supply. The NMOS drains are tied together 6413 and to the drain of PMOS A and to Output Y. Inputs A to H are tied to one PMOS gate and one NMOS gate. Input A is tied 6403 to the PMOS A gate and NMOS A gate. The NMOS sources are substantially all tied 6412 to ground. The PMOS drain is tied 6420 to the next PMOS source in the stack, PMOS, and repeated so forth. The structure built in 3D described below will take advantage of these connections in the 3rd dimension.


The view of the 3D NOR-8 cell, with vertical PMOS and horizontal NMOS devices are shown in FIG. 18D for the X cross section view, and 18D for the Y cross sectional view. The PMOS source 6411 is tied to the V+ supply metal 6416 in the PMOS metal 1 layer thru a P+ to Metal contact. The PMOS drain is tied 6420 to PMOS source in the PMOS silicon layer. The NMOS sources 6412 are substantially all tied to ground by N+ to NMOS metal-1 contacts to metal lines 6418 and to the backplane N+ ground layer in the N− substrate. Input A on PMOS metal-2 is tied to both PMOS and NMOS gates 6403 with a gate on STI to gate on STI contact 6414. The NMOS drains are substantially all tied together with NMOS metal-2 6415 to the NMOS A drain and PMOS A drain 6413 by the P+ to N+ to PMOS metal-2 contact 6417, which is tied to output Y. FIG. 18D illustrates the use of vertical PMOS transistors to compactly tie the stack sources and drain, and make a very compact area cell. The transistor isolation oxides 6400 are illustrated.


The above process flow may be used to construct a compact 3D CMOS inverter cell example as illustrated in FIG. 19A. In FIG. 19A the STI (shallow trench isolation) 4600 for both NMOS and PMOS is drawn coincident and the PMOS is on top of the NMOS.


Y direction cross sectional view is illustrated in FIG. 19A. The NMOS and PMOS gates 4602 are drawn coincident and stacked,


The above process flow may be used to construct a compact 3D CMOS transmission cell example as illustrated in FIG. 19B. The STI (shallow trench isolation) 5000 for both NMOS and PMOS may be drawn coincident on the top and sides. The Y cross sectional view is illustrated in FIG. 19B. The PMOS gate 5014 may be drawn coincident and stacked with the NMOS gate 5016. The NMOS and PMOS source shared contacts 5022 make the shared connection for the input. The NMOS and PMOS drain shared contacts 5024 make the shared connection for the output.



FIG. 20A is a drawing illustration of back bias circuits. A back bias level control circuit 1720 is controlling the oscillators 1727 and 1729 to drive the voltage generators 1721. The negative voltage generator 1725 will generate the desired negative bias which will be connected to the primary circuit by connection 1723 to back bias the N-channel Metal-Oxide-Semiconductor (NMOS) transistors 1732 on the primary silicon 1404. The positive voltage generator 1726 will generate the desired negative bias which will be connected to the primary circuit by connection 1724 to back bias the P-channel Metal-Oxide-Semiconductor (PMOS) transistors 1724 on the primary silicon 1404. The setting of the proper back bias level per zone will be done in the initiation phase. It could be done by using external tester and controller or by on-chip self test circuitry. Preferably a non volatile memory will be used to store the per zone back bias voltage level so the device could be properly initialized at power up. Alternatively a dynamic scheme could be used where different back bias level(s) are used in different operating modes of the device. Having the back bias circuitry in the foundation allows better utilization of the primary device silicon resources and less distortion for the logic operation on the primary device.



FIG. 20B illustrates an alternative circuit function that may fit well in the “Foundation.” In many IC designs it is desired to integrate power control to reduce either voltage to sections of the device or to totally power off these sections when those sections are not needed or in an almost ‘sleep’ mode. In general such power control is best done with higher voltage transistors. Accordingly a power control circuit cell 17C02 may be constructed in the Foundation. Such power control 17C02 may have its own higher voltage supply and control or regulate supply voltage for sections 17C10 and 17C08 in the “Primary” device. The control may come from the primary device 17C16 and be managed by control circuit 17C04 in the Foundation



FIG. 21A is a drawing illustration of an underlying I/O. The foundation could also be preprocessed to carry the I/O circuits or part of it, such as the relatively large transistors of the output drive 1912. Additionally TSV in the foundation could be used to bring the I/O connection 1914 all the way to the back side of the foundation. FIG. 21B is a drawing illustration of a side “cut” of an integrated device according to an embodiment of the present invention. The Output Driver is illustrated by PMOS and NMOS output transistors 19B06 coupled through TSV 19B10 to connect to a backside pad or pad bump 19B08. The connection material used in the foundation can be selected to withstand the temperature of the following process constructing the full device as illustrated in FIG. 8A, such as tungsten. The foundation could also carry the input protection circuit 1916 connecting the pad 19B08 to the input logic 1920 in the primary circuits.


An additional embodiment of the present invention may be to use TSVs in the foundation such as TSV 19B10 to connect between wafers to form 3D Integrated Systems. In general each TSV takes a relatively large area, typically a few square microns. When the need is for many TSVs, the overall cost of the area for these TSVs might be high if the use of that area for high density transistors is precluded. Pre-processing these TSVs on the donor wafer on a relatively older process line will significantly reduce the effective costs of the 3D TSV connections. The connection 1924 to the primary silicon circuitry 1920 could be then made at the minimum contact size of few tens of square nanometers, which is two orders of magnitude lower than the few square microns needed by the TSVs. Those of ordinary skill in the art will appreciate that FIG. 21B is for illustration only and is not drawn to scale. Such skilled persons will understand there are many alternative embodiments and component arrangements that could be constructed using the inventive principles shown and that FIG. 21B is not limiting in any way.



FIG. 21C demonstrates a 3D system comprising three dice 19C10, 19C20 and 19C30 coupled together with TSVs 19C12, 19C22 and 19C32 similar to TSV 19B10 as described in association with FIG. 21A. The stack of three dice utilize TSV in the Foundations 19C12, 19C22, and 19C32 for the 3D interconnect may allow for minimum effect or silicon area loss of the Primary silicon 19C14, 19C24 and 19C34 connected to their respective Foundations with minimum size via connections. The three die stacks may be connected to a PC Board using bumps 19C40 connected to the bottom die TSVs 19C32. Those of ordinary skill in the art will appreciate that FIG. 21C is for illustration only and is not drawn to scale. Such skilled persons will understand there are many alternative embodiments and component arrangements that could be constructed using the inventive principles shown and that FIG. 21C is not limiting in any way. For example, a die stack could be placed in a package using flip chip bonding or the bumps 19C40 could be replaced with bond pads and the part flipped over and bonded in a conventional package with bond wires.



FIG. 21D illustrates a 3D IC processor and DRAM system. A well known problem in the computing industry is known as the “memory wall” and relates to the speed the processor can access the DRAM. The prior art proposed solution was to connect a DRAM stack using TSV directly on top of the processor and use a heat spreader attached to the processor back to remove the processor heat. But in order to do so, a special via needs to go “through DRAM” so that the processor I/Os and power could be connected. Having many processor-related ‘through-DRAM vias” leads to a few severe disadvantages. First, it reduces the usable silicon area of the DRAM by a few percent. Second, it increases the power overhead by a few percent. Third, it requires that the DRAM design be coordinated with the processor design which is very commercially challenging. The embodiment of FIG. 21D illustrates one solution to mitigate the above mentioned disadvantages by having a foundation with TSVs as illustrated in FIGS. 21B and 21C. The use of the foundation and primary structure may enable the connections of the processor without going through the DRAM.


In FIG. 21D the processor I/Os and power may be coupled from the face-down microprocessor active area 19D14—the primary layer, by vias 19D08 through heat spreader substrate 19D04 to an interposer 19D06. A heat spreader 19D12, the heat spreader substrate 19D04, and heat sink 19D02 are used to spread the heat generated on the processor active area 19D14. TSVs 19D22 through the Foundation 19D16 are used for the connection of the DRAM stack 19D24. The DRAM stack comprises multiple thinned DRAM 19D18 interconnected by TSV 19D20. Accordingly the DRAM stack does not need to pass through the processor I/O and power planes and could be designed and produced independent of the processor design and layout. The DRAM chip 19D18 that is closest to the Foundation 19D16 may be designed to connect to the Foundation TSVs 19D22, or a separate Re-Distribution Layer (or RDL, not shown) may be added in between, or the Foundation 19D16 could serve that function with preprocessed high temperature interconnect layers, such as Tungsten, as described previously. And the processor's active area is not compromised by having TSVs through it as those are done in the Foundation 19D16.


Alternatively the Foundation vias 19D22 could be used to pass the processor I/O and power to the substrate 19D04 and to the interposer 19D06 while the DRAM stack would be coupled directly to the processor active area 19D14. Persons of ordinary skill in the art will appreciate that many more combinations are possible within the scope of the disclosed present invention.



FIG. 21E illustrates another embodiment of the present invention wherein the DRAM stack 19D24 may be coupled by wire bonds 19E24 to an RDL (Re-Distribution Layer) 19E26 that couples the DRAM to the Foundation vias 19D22, and thus couples them to the face-down processor 19D14.


In yet another embodiment, custom SOI wafers are used where NuVias 19F00 may be processed by the wafer supplier. NuVias 19F00 may be conventional TSVs that may be 1 micron or larger in diameter and may be preprocessed by an SOI wafer vendor. This is illustrated in FIG. 21F with handle wafer 19F02 and Buried Oxide BOX 19F01. The handle wafer 19F02 may typically be many hundreds of microns thick, and the BOX 19F01 may typically be a few hundred nanometers thick. The Integrated Device Manufacturer (IDM) or foundry then processes NuContacts 19F03 to connect to the NuVias 19F00. NuContacts may be conventionally dimensioned contacts etched thru the thin silicon 19F05 and the BOX 19F01 of the SOI and filled with metal. The NuContact diameter DNuContact 19F04, in FIG. 21F may then be processed into the tens of nanometer range. The prior art of construction with bulk silicon wafers 19G00 as illustrated in FIG. 21G typically has a TSV diameter, DTSV prior art 19G02, in the micron range. The reduced dimension of NuContact DNuContact 19F04 in FIG. 21F may have important implications for semiconductor designers. The use of NuContacts may provide reduced die size penalty of through-silicon connections, reduced handling of very thin silicon wafers, and reduced design complexity. The arrangement of TSVs in custom SOI wafers can be based on a high-volume integrated device manufacturer (IDM) or foundry's request, or be based on a commonly agreed industry standard.


A process flow as illustrated in FIG. 21H may be utilized to manufacture these custom SOI wafers. Such a flow may be used by a wafer supplier. A silicon donor wafer 19H04 is taken and its surface 19H05 may be oxidized. An atomic species, such as, for example, hydrogen, may then be implanted at a certain depth 19H06. Oxide-to-oxide bonding as described in other embodiments may then be used to bond this wafer with an acceptor wafer 19H08 having pre-processed NuVias 19H07. The NuVias 19H07 may be constructed with a conductive material, such as tungsten or doped silicon, which can withstand high-temperature processing. An insulating barrier, such as, for example, silicon oxide, may be utilized to electrically isolate the NuVia 19H07 from the silicon of the acceptor wafer 19H08. Alternatively, the wafer supplier may construct NuVias 19H07 with silicon oxide. The integrated device manufacturer or foundry etches out this oxide after the high-temperature (more than 400° C.) transistor fabrication is complete and may replace this oxide with a metal such as copper or aluminum. This process may allow a low-melting point, but highly conductive metal, like copper to be used. Following the bonding, a portion 19H10 of the donor silicon wafer 19H04 may be cleaved at 19H06 and then chemically mechanically polished as described in other embodiments.



FIG. 21J depicts another technique to manufacture custom SOI wafers. A standard SOI wafer with substrate 19J01, box 19F01, and top silicon layer 19J02 may be taken and NuVias 19F00 may be formed from the back-side up to the oxide layer. This technique might have a thicker buried oxide 19F01 than a standard SOI process.



FIG. 21I depicts how a custom SOI wafer may be used for 3D stacking of a processor 19I09 and a DRAM 19I10. In this configuration, a processor's power distribution and I/O connections have to pass from the substrate 19I12, go through the DRAM 19I10 and then connect onto the processor 19I09. The above described technique in FIG. 21F may result in a small contact area on the DRAM active silicon, which is very convenient for this processor-DRAM stacking application. The transistor area lost on the DRAM die due to the through-silicon connection 19I13 and 19I14 is very small due to the tens of nanometer diameter of NuContact 19I13 in the active DRAM silicon. It is difficult to design a DRAM when large areas in its center are blocked by large through-silicon connections. Having small size through-silicon connections may help tackle this issue. Persons of ordinary skill in the art will appreciate that this technique may be applied to building processor-SRAM stacks, processor-flash memory stacks, processor-graphics-memory stacks, any combination of the above, and any other combination of related integrated circuits such as, for example, SRAM-based programmable logic devices and their associated configuration ROM/PROM/EPROM/EEPROM devices, ASICs and power regulators, microcontrollers and analog functions, etc. Additionally, the silicon on insulator (SOI) may be a material such as polysilicon, GaAs, GaN, etc. on an insulator. Such skilled persons will appreciate that the applications of NuVia and NuContact technology are extremely general and the scope of the present invention is to be limited only by the appended claims.


Accordingly a CMOS circuit may be constructed where the various circuit cells are built on two silicon layers achieving a smaller circuit area and shorter intra and inter transistor interconnects. As interconnects become dominating for power and speed, packing circuits in a smaller area would result in a lower power and faster speed end device.


Persons of ordinary skill in the art will appreciate that a number of different process flows have been described with exemplary logic gates and memory bit cells used as representative circuits. Such skilled persons will further appreciate that whichever flow is chosen for an individual design, a library of all the logic functions for use in the design may be created so that the cells may easily be reused either within that individual design or in subsequent ones employing the same flow. Such skilled persons will also appreciate that many different design styles may be used for a given design. For example, a library of logic cells could be built in a manner that has uniform height called standard cells as is well known in the art. Alternatively, a library could be created for use in long continuous strips of transistors called a gated array which is also known in the art. In another alternative embodiment, a library of cells could be created for use in a hand crafted or custom design as is well known in the art. For example, in yet another alternative embodiment, any combination of libraries of logic cells tailored to these design approaches can be used in a particular design as a matter of design choice, the libraries chosen may employ the same process flow if they are to be used on the same layers of a 3D IC. Different flows may be used on different levels of a 3D IC, and one or more libraries of cells appropriate for each respective level may be used in a single design.


The disclosure presents two forms of 3D IC system, first by using TSV and second by using the method referred to herein as the ‘Attic’ described in, for example, FIG. 12A to FIG. 17E. Those two methods could even work together as a devices could have multiple layers of mono- or poly-crystalline silicon produced using layer transfer or deposits and the techniques referred to herein as the ‘Foundation’ and the ‘Attic’ and then connected together using TSV. The most significant difference is that prior TSVs are associated with a relatively large misalignment (approximately 1 micron) and limited connections (TSV) per mm sq. of approximately 10,000 for a connected fully fabricated device while the disclosed ‘smart-cut’—layer transferred techniques allow 3D structures with a very small misalignment (<10 nm) and high number of connections (vias) per mm sq. of approximately 100,000,000, since they are produced in an integrated fabrication flow. An advantage of 3D using TSV is the ability to test each device before integrating it and utilize the Known Good Die (KGD) in the 3D stack or system. This is very helpful to provide good yield and reasonable costs of the 3D Integrated System.



FIGS. 22A and 22B illustrate how the power or ground distribution network of a 3D integrated circuit could assist heat removal. FIG. 22A illustrates an exemplary power distribution network or structure of the 3D integrated circuit. The 3D integrated circuit, could, for example, be constructed with two silicon layers 12604 and 12616. The heat removal apparatus 12602 could include a heat spreader and a heat sink. The power distribution network or structure could consist of a global power grid 12610 that takes the supply voltage (denoted as VDD) from power pads and transfers it to local power grids 12608 and 12606, which then transfer the supply voltage to logic cells or gates such as 12614 and 12615. Vias 12618 and 12612, such as the previously described TSV or TLV, could be used to transfer the supply voltage from the global power grid 12610 to local power grids 12608 and 12606. The 3D integrated circuit could have a similar distribution networks, such as for ground and other supply voltages, as well. Typically, many contacts are made between the supply and ground distribution networks and silicon layer 12604. Due to this, there could exist a low thermal resistance between the power/ground distribution network and the heat removal apparatus 12602. Since power/ground distribution networks are typically constructed of conductive metals and could have low effective electrical resistance, they could have a low thermal resistance as well. Each logic cell or gate on the 3D integrated circuit (such as, for example 12614) is typically connected to VDD and ground, and therefore could have contacts to the power and ground distribution network. These contacts could help transfer heat efficiently (i.e. with low thermal resistance) from each logic cell or gate on the 3D integrated circuit (such as, for example 12614) to the heat removal apparatus 12602 through the power/ground distribution network and the silicon layer 12604.



FIG. 22B describes an embodiment of this present invention, where the concept of thermal contacts is described. Two mono-crystalline silicon layers, 12804 and 12816 may have transistors. Silicon layer 12816 could be thinned down from its original thickness, and its thickness could be in the range of approximately 3 nm to approximately 1 um. Mono-crystalline silicon layer 12804 could have STI regions 12810, gate dielectric regions 12812, gate electrode regions 12814 and several other regions required for transistors (not shown). Mono-crystalline silicon layer 12816 could have STI regions 12830, gate dielectric regions 12832, gate electrode regions 12834 and several other regions required for transistors (not shown). Heat removal apparatus 12802 may include, for example, heat spreaders and heat sinks. In the example shown in FIG. 22B, mono-crystalline silicon layer 12804 is closer to the heat removal apparatus 12802 than other mono-crystalline silicon layers such as 12816. Dielectric regions 12806 and 12846 could be used to insulate wiring regions such as 12822 and 12842 respectively. Through-layer vias for power delivery 12818 and their associated dielectric regions 12820 are shown. A thermal contact 12824 can be used that connects the local power distribution network or structure, which may include wiring layers 12842 used for transistors in the silicon layer 12804, to the silicon layer 12804. Thermal junction region 12826 can be either a doped or undoped region of silicon. The thermal contact such as 12824 can be preferably placed close to the corresponding through-layer via for power delivery 12818; this helps transfer heat efficiently from the through-layer via for power delivery 12818 to thermal junction region 12826 and silicon layer 12804 and ultimately to the heat removal apparatus 12802. For example, the thermal contact 12824 could be located within approximately 2 um distance of the through-layer via for power delivery 12818 in the X-Y plane (the through-layer via direction is considered the Z plane in FIG. 22B). While the thermal contact such as 12824 is described above as being between the power distribution network or structure and the silicon layer closest to the heat removal apparatus, it could also be between the ground distribution network and the silicon layer closest to the heat sink. Furthermore, more than one thermal contact 12824 can be placed close to the through-layer via for power delivery 12818. These thermal contacts can improve heat transfer from transistors located in higher layers of silicon such as 12816 to the heat removal apparatus 12802. While mono-crystalline silicon has been mentioned as the transistor material in this paragraph, other options are possible including, for example, poly-crystalline silicon, mono-crystalline germanium, mono-crystalline III-V semiconductors, graphene, and various other semiconductor materials with which devices, such as transistors, may be constructed within.


Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. These device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as mobile phones, smart phone, cameras and the like. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within these mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology. The 3D IC techniques and the methods to build devices according to various embodiments of the invention could empower the mobile smart system to win in the market place, as they provide unique advantages for aspects that are very important for ‘smart’ mobile devices, such as, low size and volume, low power, versatile technologies and feature integration, low cost, self-repair, high memory density, high performance. These advantages would not be achieved without the use of some embodiment of the invention.


3D ICs according to some embodiments of the invention could also enable electronic and semiconductor devices with much a higher performance due to the shorter interconnect as well as semiconductor devices with far more complexity via multiple levels of logic and providing the ability to repair or use redundancy. The achievable complexity of the semiconductor devices according to some embodiments of the invention could far exceed what was practical with the prior art technology. These advantages could lead to more powerful computer systems and improved systems that have embedded computers.


It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims
  • 1. A 3D semiconductor device, the device comprising: a first single crystal layer comprising a plurality of first transistors;at least one first metal layer interconnecting said plurality of first transistors, wherein said interconnecting comprises forming memory peripheral circuits;a plurality of second transistors atop at least a portion of said at least one first metal layer;a second metal layer atop at least a portion of said plurality of second transistors;a first memory cell atop said memory peripheral circuits;a second memory cell atop said first memory cell;a staircase structure atop at least a portion of said first single crystal layer; anda non-volatile NAND memory, wherein said first memory cell comprises at least one of said second transistors,wherein said memory peripheral circuits control at least said first memory cell,wherein at least one of said second transistors comprises a source, channel and drain,wherein said source, said channel and said drain have a same dopant type,wherein said non-volatile NAND memory comprises said first memory cell,wherein at least one of said second transistors comprises a polysilicon channel,wherein said non-volatile NAND memory comprises at least a portion of a memory array structure,wherein said memory array structure comprises wordlines and bitlines, said bitlines are orthogonally oriented to said wordlines,wherein said wordlines and bitlines are adapted to function as memory control lines,wherein said wordlines and bitlines are connected to said memory peripheral circuits,wherein said connected to comprises said staircase structure, andwherein processing of said first transistors accounts for a thermal processing of said second transistors by adjusting a thermal budget of said first transistors accordingly.
  • 2. The 3D semiconductor device according to claim 1, wherein said first memory cell is self-aligned to said second memory cell being processed following a same lithography step.
  • 3. The 3D semiconductor device according to claim 1, wherein at least one of said second transistors is a vertically oriented transistor.
  • 4. The 3D semiconductor device according to claim 1, further comprising: an upper level disposed atop said second metal layer, wherein said upper level comprises a mono-crystalline silicon layer.
  • 5. The 3D semiconductor device according to claim 1, further comprising: a first plurality of external connections underlying said first single crystal layer, wherein said first plurality of external connections comprises connections from said device to a first external device; anda second plurality of external connections overlying said second metal layer, wherein said second plurality of external connections comprises connections from said device to a second external device, andwherein said first plurality of external connections comprises a through silicon via (TSV).
  • 6. The 3D semiconductor device according to claim 1, wherein said memory peripheral circuits comprise a decoder circuit,wherein said decoder circuit is at least partially overlaid by said memory array structure.
  • 7. A 3D semiconductor device, the device comprising: a first single crystal layer comprising a plurality of first transistors;at least one first metal layer interconnecting said plurality of first transistors, wherein said interconnecting comprises forming memory peripheral circuits;a plurality of second transistors atop at least a portion of said at least one first metal layer;a second metal layer atop at least a portion of said plurality of second transistors;a third metal layer disposed above said first metal layer and under said second metal layer;a first memory cell atop said memory peripheral circuits;a second memory cell atop said first memory cell; anda non-volatile NAND memory, wherein said first memory cell comprises at least one of said second transistors,wherein said memory peripheral circuits control at least said first memory cell,wherein at least one of said second transistors comprises a source, channel and drain,wherein said source, said channel and said drain have a same dopant type,wherein said non-volatile NAND memory comprises said first memory cell,wherein said third metal layer is significantly thicker than said first metal layer or said second metal layer.
  • 8. The 3D semiconductor device according to claim 7, wherein at least one of said second transistors comprises a polysilicon channel.
  • 9. The 3D semiconductor device according to claim 7, wherein said first memory cell is self-aligned to said second memory cell being processed following a same lithography step.
  • 10. The 3D semiconductor device according to claim 7, further comprising: an upper level disposed atop said second metal layer, wherein said upper level comprises a mono-crystalline silicon layer.
  • 11. The 3D semiconductor device according to claim 7, wherein processing of said first transistors accounts for a thermal processing of said second transistors by adjusting a thermal budget of said first transistors accordingly.
  • 12. The 3D semiconductor device according to claim 7, further comprising: a first plurality of external connections underlying said first single crystal layer, wherein said first plurality of external connections comprises connections from said device to a first external device; anda second plurality of external connections overlying said second metal layer, wherein said second plurality of external connections comprises connections from said device to a second external device, andwherein said first plurality of external connections comprises a through silicon via (TSV).
  • 13. A 3D semiconductor device, the device comprising: a first single crystal layer comprising a plurality of first transistors;at least one first metal layer interconnecting said plurality of first transistors, wherein said interconnecting comprises forming memory peripheral circuits;a plurality of second transistors atop at least a portion of said at least one first metal layer;a second metal layer atop at least a portion of said plurality of second transistors;a third metal layer disposed above said first metal layer and under said second metal layer;a first memory cell atop said memory peripheral circuits; anda second memory cell atop said first memory cell, wherein said first memory cell comprises at least one of said second transistors,wherein at least one of said second transistors comprises a source, channel and drain,wherein said source, said channel and said drain have a same dopant type,wherein said third metal layer is significantly thicker than said first metal layer or said second metal layer.
  • 14. The 3D semiconductor device according to claim 13, wherein said memory peripheral circuits control at least said first memory cell.
  • 15. The 3D semiconductor device according to claim 13, wherein processing of said first transistors accounts for a thermal processing of said second transistors by adjusting a thermal budget of said first level accordingly.
  • 16. The 3D semiconductor device according to claim 13, wherein said first memory cell is self-aligned to said second memory cell being processed following a same lithography step.
  • 17. The 3D semiconductor device according to claim 13, further comprising: an upper level disposed atop said second metal layer, wherein said upper level comprises a mono-crystalline silicon layer.
  • 18. The 3D semiconductor device according to claim 13, further comprising: a non-volatile NAND memory, wherein said non-volatile NAND memory comprises said first memory cell.
US Referenced Citations (883)
Number Name Date Kind
3007090 Rutz Oct 1961 A
3564358 Hahnlein Feb 1971 A
3819959 Chang et al. Jun 1974 A
4009483 Clark Feb 1977 A
4197555 Uehara et al. Apr 1980 A
4213139 Rao et al. Jul 1980 A
4400715 Barbee et al. Aug 1983 A
4487635 Kugimiya et al. Dec 1984 A
4510670 Schwabe Apr 1985 A
4522657 Rohatgi et al. Jun 1985 A
4612083 Yasumoto et al. Sep 1986 A
4643950 Ogura et al. Feb 1987 A
4704785 Curran Nov 1987 A
4711858 Harder et al. Dec 1987 A
4721885 Brodie Jan 1988 A
4732312 Kennedy et al. Mar 1988 A
4733288 Sato Mar 1988 A
4829018 Wahlstrom May 1989 A
4854986 Raby Aug 1989 A
4866304 Yu Sep 1989 A
4939568 Kato et al. Jul 1990 A
4956307 Pollack et al. Sep 1990 A
5012153 Atkinson et al. Apr 1991 A
5032007 Silverstein et al. Jul 1991 A
5047979 Leung Sep 1991 A
5087585 Hayashi Feb 1992 A
5093704 Sato et al. Mar 1992 A
5106775 Kaga et al. Apr 1992 A
5152857 Ito et al. Oct 1992 A
5162879 Gill Nov 1992 A
5189500 Kusunoki Feb 1993 A
5217916 Anderson et al. Jun 1993 A
5250460 Yamagata et al. Oct 1993 A
5258643 Cohen Nov 1993 A
5265047 Leung et al. Nov 1993 A
5266511 Takao Nov 1993 A
5277748 Sakaguchi et al. Jan 1994 A
5286670 Kang et al. Feb 1994 A
5294556 Kawamura Mar 1994 A
5308782 Mazure et al. May 1994 A
5312771 Yonehara May 1994 A
5317236 Zavracky et al. May 1994 A
5324980 Kusunoki Jun 1994 A
5355022 Sugahara et al. Oct 1994 A
5371037 Yonehara Dec 1994 A
5374564 Bruel Dec 1994 A
5374581 Ichikawa et al. Dec 1994 A
5424560 Norman et al. Jun 1995 A
5475280 Jones et al. Dec 1995 A
5478762 Chao Dec 1995 A
5485031 Zhang et al. Jan 1996 A
5498978 Takahashi et al. Mar 1996 A
5527423 Neville et al. Jun 1996 A
5535342 Taylor Jul 1996 A
5554870 Fitch et al. Sep 1996 A
5563084 Ramm et al. Oct 1996 A
5583349 Norman et al. Dec 1996 A
5583350 Norman et al. Dec 1996 A
5586291 Lasker Dec 1996 A
5594563 Larson Jan 1997 A
5604137 Yamazaki et al. Feb 1997 A
5617991 Pramanick et al. Apr 1997 A
5627106 Hsu May 1997 A
5656548 Zavracky et al. Aug 1997 A
5656553 Leas et al. Aug 1997 A
5659194 Iwamatsu Aug 1997 A
5663901 Wallace Sep 1997 A
5670411 Yonehara Sep 1997 A
5681756 Norman et al. Oct 1997 A
5695557 Yamagata et al. Dec 1997 A
5701027 Gordon et al. Dec 1997 A
5707745 Forrest et al. Jan 1998 A
5714395 Bruel Feb 1998 A
5721160 Forrest et al. Feb 1998 A
5737748 Shigeeda Apr 1998 A
5739552 Kimura et al. Apr 1998 A
5744979 Goetting Apr 1998 A
5748161 Lebby et al. May 1998 A
5757026 Forrest et al. May 1998 A
5770483 Kadosh Jun 1998 A
5770881 Pelella et al. Jun 1998 A
5781031 Bertin et al. Jul 1998 A
5817574 Gardner Oct 1998 A
5829026 Leung et al. Oct 1998 A
5835396 Zhang Nov 1998 A
5854123 Sato et al. Dec 1998 A
5861929 Spitzer Jan 1999 A
5877034 Ramm Mar 1999 A
5877070 Goesele et al. Mar 1999 A
5882987 Srikrishnan Mar 1999 A
5883525 Tavana et al. Mar 1999 A
5889903 Rao Mar 1999 A
5893721 Huang et al. Apr 1999 A
5915167 Leedy Jun 1999 A
5937312 Iyer et al. Aug 1999 A
5943574 Tehrani et al. Aug 1999 A
5952680 Strite Sep 1999 A
5952681 Chen Sep 1999 A
5965875 Merrill Oct 1999 A
5977579 Noble Nov 1999 A
5977961 Rindal Nov 1999 A
5980633 Yamagata et al. Nov 1999 A
5985742 Henley et al. Nov 1999 A
5994746 Reisinger Nov 1999 A
5998808 Matsushita Dec 1999 A
6001693 Yeouchung et al. Dec 1999 A
6009496 Tsai Dec 1999 A
6020252 Aspar et al. Feb 2000 A
6020263 Shih et al. Feb 2000 A
6027958 Vu et al. Feb 2000 A
6030700 Forrest et al. Feb 2000 A
6052498 Paniccia Apr 2000 A
6054370 Doyle Apr 2000 A
6057212 Chan et al. May 2000 A
6071795 Cheung et al. Jun 2000 A
6075268 Gardner et al. Jun 2000 A
6103597 Aspar et al. Aug 2000 A
6111260 Dawson et al. Aug 2000 A
6125217 Paniccia et al. Sep 2000 A
6153495 Kub et al. Nov 2000 A
6191007 Matsui et al. Feb 2001 B1
6200878 Yamagata Mar 2001 B1
6222203 Ishibashi et al. Apr 2001 B1
6226197 Nishimura May 2001 B1
6229161 Nemati et al. May 2001 B1
6242324 Kub et al. Jun 2001 B1
6242778 Marmillion et al. Jun 2001 B1
6252465 Katoh Jun 2001 B1
6259623 Takahashi Jul 2001 B1
6261935 See et al. Jul 2001 B1
6264805 Forrest et al. Jul 2001 B1
6281102 Cao et al. Aug 2001 B1
6294018 Hamm et al. Sep 2001 B1
6306705 Parekh et al. Oct 2001 B1
6321134 Henley et al. Nov 2001 B1
6322903 Siniaguine et al. Nov 2001 B1
6331468 Aronowitz et al. Dec 2001 B1
6331790 Or-Bach et al. Dec 2001 B1
6331943 Naji et al. Dec 2001 B1
6353492 McClelland et al. Mar 2002 B2
6355501 Fung et al. Mar 2002 B1
6355976 Faris Mar 2002 B1
6358631 Forrest et al. Mar 2002 B1
6365270 Forrest et al. Apr 2002 B2
6376337 Wang et al. Apr 2002 B1
6377504 Hilbert Apr 2002 B1
6380046 Yamazaki Apr 2002 B1
6392253 Saxena May 2002 B1
6404043 Isaak Jun 2002 B1
6417108 Akino et al. Jul 2002 B1
6420215 Knall et al. Jul 2002 B1
6423614 Doyle Jul 2002 B1
6429481 Mo et al. Aug 2002 B1
6429484 Yu Aug 2002 B1
6430734 Zahar Aug 2002 B1
6448615 Forbes Sep 2002 B1
6475869 Yu Nov 2002 B1
6476493 Or-Bach et al. Nov 2002 B2
6479821 Hawryluk et al. Nov 2002 B1
6483707 Freuler et al. Nov 2002 B1
6507115 Hofstee Jan 2003 B1
6515334 Yamazaki et al. Feb 2003 B2
6515511 Sugibayashi et al. Feb 2003 B2
6526559 Schiefele et al. Feb 2003 B2
6528391 Henley et al. Mar 2003 B1
6534352 Kim Mar 2003 B1
6534382 Sakaguchi et al. Mar 2003 B1
6544837 Divakauni et al. Apr 2003 B1
6545314 Forbes et al. Apr 2003 B2
6555901 Yoshihara et al. Apr 2003 B1
6563139 Hen May 2003 B2
6580124 Cleeves Jun 2003 B1
6580289 Cox Jun 2003 B2
6600173 Tiwari Jul 2003 B2
6617694 Kodaira et al. Sep 2003 B2
6620659 Emmma et al. Sep 2003 B2
6624046 Zavracky et al. Sep 2003 B1
6627518 Inoue et al. Sep 2003 B1
6627985 Huppenthal et al. Sep 2003 B2
6630713 Geusic Oct 2003 B2
6635552 Gonzalez Oct 2003 B1
6635588 Hawryluk et al. Oct 2003 B1
6638834 Gonzalez Oct 2003 B2
6642744 Or-Bach et al. Nov 2003 B2
6653209 Yamagata Nov 2003 B1
6653712 Knall et al. Nov 2003 B2
6661085 Kellar et al. Dec 2003 B2
6677204 Cleeves et al. Jan 2004 B2
6686253 Or-Bach Feb 2004 B2
6701071 Wada et al. Mar 2004 B2
6703328 Tanaka et al. Mar 2004 B2
6756633 Wang et al. Jun 2004 B2
6756811 Or-Bach Jun 2004 B2
6759282 Campbell et al. Jul 2004 B2
6762076 Kim et al. Jul 2004 B2
6774010 Chu et al. Aug 2004 B2
6805979 Ogura et al. Oct 2004 B2
6806171 Ulyashin et al. Oct 2004 B1
6809009 Aspar et al. Oct 2004 B2
6815781 Vyvoda et al. Nov 2004 B2
6819136 Or-Bach Nov 2004 B2
6821826 Chan et al. Nov 2004 B1
6841813 Walker et al. Jan 2005 B2
6844243 Gonzalez Jan 2005 B1
6864534 Ipposhi et al. Mar 2005 B2
6875671 Faris Apr 2005 B2
6882572 Wang et al. Apr 2005 B2
6888375 Feng et al. May 2005 B2
6917219 New Jul 2005 B2
6927431 Gonzalez Aug 2005 B2
6930511 Or-Bach Aug 2005 B2
6943067 Greenlaw Sep 2005 B2
6943407 Ouyang et al. Sep 2005 B2
6949421 Padmanabhan et al. Sep 2005 B1
6953956 Or-Bach et al. Oct 2005 B2
6967149 Meyer et al. Nov 2005 B2
6985012 Or-Bach Jan 2006 B2
6989687 Or-Bach Jan 2006 B2
6995430 Langdo et al. Feb 2006 B2
6995456 Nowak Feb 2006 B2
7015719 Feng et al. Mar 2006 B1
7016569 Mule et al. Mar 2006 B2
7018875 Madurawe Mar 2006 B2
7019557 Madurawe Mar 2006 B2
7043106 West et al. May 2006 B2
7052941 Lee May 2006 B2
7064579 Madurawe Jun 2006 B2
7067396 Aspar et al. Jun 2006 B2
7067909 Reif et al. Jun 2006 B2
7068070 Or-Bach Jun 2006 B2
7068072 New et al. Jun 2006 B2
7078739 Nemati et al. Jul 2006 B1
7094667 Bower Aug 2006 B1
7098691 Or-Bach et al. Aug 2006 B2
7105390 Brask et al. Sep 2006 B2
7105871 Or-Bach et al. Sep 2006 B2
7109092 Tong Sep 2006 B2
7110629 Bjorkman et al. Sep 2006 B2
7111149 Eilert Sep 2006 B2
7112815 Prall Sep 2006 B2
7115945 Lee et al. Oct 2006 B2
7115966 Ido et al. Oct 2006 B2
7141853 Campbell et al. Nov 2006 B2
7148119 Sakaguchi et al. Dec 2006 B1
7157787 Kim et al. Jan 2007 B2
7157937 Apostol et al. Jan 2007 B2
7166520 Henley Jan 2007 B1
7170807 Fazan et al. Jan 2007 B2
7173369 Forrest et al. Feb 2007 B2
7180091 Yamazaki et al. Feb 2007 B2
7180379 Hopper et al. Feb 2007 B1
7183611 Bhattacharyya Feb 2007 B2
7189489 Kunimoto et al. Mar 2007 B2
7205204 Ogawa et al. Apr 2007 B2
7209384 Kim Apr 2007 B1
7217636 Atanackovic May 2007 B1
7223612 Sarma May 2007 B2
7242012 Leedy Jul 2007 B2
7245002 Akino et al. Jul 2007 B2
7256104 Ito et al. Aug 2007 B2
7259091 Schuehrer et al. Aug 2007 B2
7265421 Madurawe Sep 2007 B2
7271420 Cao Sep 2007 B2
7274207 Sugawara et al. Sep 2007 B2
7282951 Huppenthal et al. Oct 2007 B2
7284226 Kondapalli Oct 2007 B1
7296201 Abramovici Nov 2007 B2
7304355 Zhang Dec 2007 B2
7312109 Madurawe Dec 2007 B2
7312487 Alam et al. Dec 2007 B2
7314788 Shaw Jan 2008 B2
7335573 Takayama et al. Feb 2008 B2
7337425 Kirk Feb 2008 B2
7338884 Shimoto et al. Mar 2008 B2
7342415 Teig et al. Mar 2008 B2
7351644 Henley Apr 2008 B2
7358601 Plants et al. Apr 2008 B1
7362133 Madurawe Apr 2008 B2
7369435 Forbes May 2008 B2
7371660 Henley et al. May 2008 B2
7378702 Lee May 2008 B2
7381989 Kim Jun 2008 B2
7385283 Wu Jun 2008 B2
7393722 Issaq et al. Jul 2008 B1
7402483 Yu et al. Jul 2008 B2
7402897 Leedy Jul 2008 B2
7419844 Lee et al. Sep 2008 B2
7432185 Kim Oct 2008 B2
7436027 Ogawa et al. Oct 2008 B2
7439773 Or-Bach et al. Oct 2008 B2
7446563 Madurawe Nov 2008 B2
7459752 Doris et al. Dec 2008 B2
7459763 Issaq et al. Dec 2008 B1
7459772 Speers Dec 2008 B2
7463062 Or-Bach et al. Dec 2008 B2
7463502 Stipe Dec 2008 B2
7470142 Lee Dec 2008 B2
7470598 Lee Dec 2008 B2
7476939 Okhonin et al. Jan 2009 B2
7477540 Okhonin et al. Jan 2009 B2
7485968 Enquist et al. Feb 2009 B2
7486563 Waller et al. Feb 2009 B2
7488980 Takafuji et al. Feb 2009 B2
7492632 Carman Feb 2009 B2
7495473 McCollum et al. Feb 2009 B2
7498675 Farnworth et al. Mar 2009 B2
7499352 Singh Mar 2009 B2
7499358 Bauser Mar 2009 B2
7508034 Takafuji et al. Mar 2009 B2
7514748 Fazan et al. Apr 2009 B2
7521806 Trezza Apr 2009 B2
7525186 Kim et al. Apr 2009 B2
7535089 Fitzgerald May 2009 B2
7541616 Fazan et al. Jun 2009 B2
7547589 Iriguchi Jun 2009 B2
7553745 Lim Jun 2009 B2
7557367 Rogers et al. Jul 2009 B2
7558141 Katsumata et al. Jul 2009 B2
7563659 Kwon et al. Jul 2009 B2
7566855 Olsen et al. Jul 2009 B2
7566974 Konevecki Jul 2009 B2
7586778 Ho et al. Sep 2009 B2
7589375 Jang et al. Sep 2009 B2
7608848 Ho et al. Oct 2009 B2
7612411 Walker Nov 2009 B2
7622367 Nuzzo et al. Nov 2009 B1
7632738 Lee Dec 2009 B2
7633162 Lee Dec 2009 B2
7666723 Frank et al. Feb 2010 B2
7671371 Lee Mar 2010 B2
7671460 Lauxtermann et al. Mar 2010 B2
7674687 Henley Mar 2010 B2
7687372 Jain Mar 2010 B2
7687872 Cazaux Mar 2010 B2
7688619 Lung et al. Mar 2010 B2
7692202 Bensch Apr 2010 B2
7692448 Solomon Apr 2010 B2
7692944 Bernstein et al. Apr 2010 B2
7697316 Lai et al. Apr 2010 B2
7709932 Nemoto et al. May 2010 B2
7718508 Lee May 2010 B2
7723207 Alam et al. May 2010 B2
7728326 Yamazaki et al. Jun 2010 B2
7732301 Pinnington et al. Jun 2010 B1
7741673 Tak et al. Jun 2010 B2
7742331 Watanabe Jun 2010 B2
7745250 Han Jun 2010 B2
7749884 Mathew et al. Jul 2010 B2
7750669 Spangaro Jul 2010 B2
7755622 Yvon Jul 2010 B2
7759043 Tanabe et al. Jul 2010 B2
7768115 Lee et al. Aug 2010 B2
7772039 Kerber Aug 2010 B2
7772096 DeSouza et al. Aug 2010 B2
7774735 Sood Aug 2010 B1
7776715 Wells et al. Aug 2010 B2
7777330 Pelley et al. Aug 2010 B2
7786460 Lung et al. Aug 2010 B2
7786535 Abou-Khalil et al. Aug 2010 B2
7790524 Abadeer et al. Sep 2010 B2
7795619 Hara Sep 2010 B2
7799675 Lee Sep 2010 B2
7800099 Yamazaki et al. Sep 2010 B2
7800148 Lee et al. Sep 2010 B2
7800163 Izumi et al. Sep 2010 B2
7800199 Oh et al. Sep 2010 B2
7816721 Yamazaki Oct 2010 B2
7843718 Koh et al. Nov 2010 B2
7846814 Lee Dec 2010 B2
7863095 Sasaki et al. Jan 2011 B2
7864568 Fujisaki et al. Jan 2011 B2
7867822 Lee Jan 2011 B2
7888764 Lee Feb 2011 B2
7910432 Tanaka et al. Mar 2011 B2
7915164 Konevecki et al. Mar 2011 B2
7919845 Karp Apr 2011 B2
7965102 Bauer et al. Jun 2011 B1
7968965 Kim Jun 2011 B2
7969193 Wu et al. Jun 2011 B1
7973314 Yang Jul 2011 B2
7982250 Yamazaki et al. Jul 2011 B2
8008732 Kiyotoshi Aug 2011 B2
8013399 Thomas et al. Sep 2011 B2
8014166 Yazdani Sep 2011 B2
8014195 Okhonin et al. Sep 2011 B2
8022493 Bang Sep 2011 B2
8030780 Kirby et al. Oct 2011 B2
8031544 Kim et al. Oct 2011 B2
8032857 McIlrath Oct 2011 B2
8044448 Kamigaichi et al. Oct 2011 B2
8044464 Yamazaki et al. Oct 2011 B2
8068364 Maejima Nov 2011 B2
8106520 Keeth et al. Jan 2012 B2
8107276 Breitwisch et al. Jan 2012 B2
8129256 Farooq et al. Mar 2012 B2
8129258 Hosier et al. Mar 2012 B2
8130547 Widjaja et al. Mar 2012 B2
8136071 Solomon Mar 2012 B2
8138502 Nakamura et al. Mar 2012 B2
8153520 Chandrashekar Apr 2012 B1
8158515 Farooq et al. Apr 2012 B2
8178919 Fujiwara et al. May 2012 B2
8183630 Batude et al. May 2012 B2
8184463 Saen et al. May 2012 B2
8185685 Selinger May 2012 B2
8203187 Lung et al. Jun 2012 B2
8208279 Lue Jun 2012 B2
8209649 McIlrath Jun 2012 B2
8228684 Losavio et al. Jul 2012 B2
8266560 McIlrath Aug 2012 B2
8264065 Su et al. Sep 2012 B2
8288816 Komori et al. Oct 2012 B2
8294199 Yahashi et al. Oct 2012 B2
8324680 Izumi et al. Dec 2012 B2
8338882 Tanaka et al. Dec 2012 B2
8343851 Kim et al. Jan 2013 B2
8354308 Kang et al. Jan 2013 B2
8355273 Liu Jan 2013 B2
8374033 Kito et al. Feb 2013 B2
8432751 Hafez Apr 2013 B2
8455941 Ishihara et al. Jun 2013 B2
8470689 Desplobain et al. Jun 2013 B2
8497512 Nakamura et al. Jul 2013 B2
8501564 Suzawa Aug 2013 B2
8507972 Oota et al. Aug 2013 B2
8508994 Okhonin Aug 2013 B2
8514623 Widjaja et al. Aug 2013 B2
8525342 Chandrasekaran Oct 2013 B2
8546956 Nguyen Oct 2013 B2
8566762 Morimoto et al. Oct 2013 B2
8603888 Liu Dec 2013 B2
8619490 Yu Dec 2013 B2
8650516 McIlrath Feb 2014 B2
8679861 Bose Mar 2014 B2
8773562 Fan Jul 2014 B1
8775998 Morimoto Jul 2014 B2
8841777 Farooq Sep 2014 B2
8853785 Augendre Oct 2014 B2
8928119 Leedy Jan 2015 B2
8971114 Kang Mar 2015 B2
9172008 Hwang Oct 2015 B2
9227456 Chien Jan 2016 B2
9230973 Pachamuthu et al. Jan 2016 B2
9334582 See May 2016 B2
9570683 Jo Feb 2017 B1
9595530 Zhou Mar 2017 B1
9673257 Takaki Jun 2017 B1
9997530 Yon et al. Jun 2018 B2
20010000005 Forrest et al. Mar 2001 A1
20010014391 Forrest et al. Aug 2001 A1
20010028059 Emma et al. Oct 2001 A1
20020024140 Nakajima et al. Feb 2002 A1
20020025604 Tiwari Feb 2002 A1
20020074668 Hofstee et al. Jun 2002 A1
20020081823 Cheung et al. Jun 2002 A1
20020090758 Henley et al. Jul 2002 A1
20020096681 Yamazaki et al. Jul 2002 A1
20020113289 Cordes et al. Aug 2002 A1
20020132465 Leedy Sep 2002 A1
20020140091 Callahan Oct 2002 A1
20020141233 Hosotani et al. Oct 2002 A1
20020153243 Forrest et al. Oct 2002 A1
20020153569 Katayama Oct 2002 A1
20020175401 Huang et al. Nov 2002 A1
20020180069 Houston Dec 2002 A1
20020190232 Chason Dec 2002 A1
20020199110 Kean Dec 2002 A1
20030015713 Yoo Jan 2003 A1
20030032262 Dennison et al. Feb 2003 A1
20030059999 Gonzalez Mar 2003 A1
20030060034 Beyne et al. Mar 2003 A1
20030061555 Kamei Mar 2003 A1
20030067043 Zhang Apr 2003 A1
20030076706 Andoh Apr 2003 A1
20030102079 Kalvesten et al. Jun 2003 A1
20030107117 Antonelli et al. Jun 2003 A1
20030113963 Wurzer Jun 2003 A1
20030119279 Enquist Jun 2003 A1
20030139011 Cleeves et al. Jul 2003 A1
20030153163 Letertre Aug 2003 A1
20030157748 Kim et al. Aug 2003 A1
20030160888 Yoshikawa Aug 2003 A1
20030173631 Murakami Sep 2003 A1
20030206036 Or-Bach Nov 2003 A1
20030213967 Forrest et al. Nov 2003 A1
20030224582 Shimoda et al. Dec 2003 A1
20030224596 Marxsen et al. Dec 2003 A1
20040007376 Urdahl et al. Jan 2004 A1
20040014299 Moriceau et al. Jan 2004 A1
20040033676 Coronel et al. Feb 2004 A1
20040036126 Chau et al. Feb 2004 A1
20040047539 Okubora et al. Mar 2004 A1
20040061176 Takafuji et al. Apr 2004 A1
20040113207 Hsu et al. Jun 2004 A1
20040143797 Nguyen Jul 2004 A1
20040150068 Leedy Aug 2004 A1
20040150070 Okada Aug 2004 A1
20040152272 Fladre et al. Aug 2004 A1
20040155301 Zhang Aug 2004 A1
20040156172 Lin et al. Aug 2004 A1
20040156233 Bhattacharyya Aug 2004 A1
20040164425 Urakawa Aug 2004 A1
20040166649 Bressot et al. Aug 2004 A1
20040174732 Morimoto Sep 2004 A1
20040175902 Rayssac et al. Sep 2004 A1
20040178819 New Sep 2004 A1
20040195572 Kato et al. Oct 2004 A1
20040219765 Reif et al. Nov 2004 A1
20040229444 Couillard Nov 2004 A1
20040259312 Schlosser et al. Dec 2004 A1
20040262635 Lee Dec 2004 A1
20040262772 Ramanathan et al. Dec 2004 A1
20050003592 Jones Jan 2005 A1
20050010725 Eilert Jan 2005 A1
20050023656 Leedy Feb 2005 A1
20050045919 Kaeriyama et al. Mar 2005 A1
20050051870 Yamazaki Mar 2005 A1
20050067620 Chan et al. Mar 2005 A1
20050067625 Hata Mar 2005 A1
20050073060 Datta et al. Apr 2005 A1
20050082526 Bedell et al. Apr 2005 A1
20050098822 Mathew May 2005 A1
20050110041 Boutros et al. May 2005 A1
20050121676 Fried et al. Jun 2005 A1
20050121789 Madurawe Jun 2005 A1
20050130351 Leedy Jun 2005 A1
20050130429 Rayssac et al. Jun 2005 A1
20050148137 Brask et al. Jul 2005 A1
20050176174 Leedy Aug 2005 A1
20050218521 Lee Oct 2005 A1
20050225237 Winters Oct 2005 A1
20050266659 Ghyselen et al. Dec 2005 A1
20050273749 Kirk Dec 2005 A1
20050280061 Lee Dec 2005 A1
20050280090 Anderson et al. Dec 2005 A1
20050280154 Lee Dec 2005 A1
20050280155 Lee Dec 2005 A1
20050280156 Lee Dec 2005 A1
20050282019 Fukushima et al. Dec 2005 A1
20060014331 Tang et al. Jan 2006 A1
20060024923 Sarma et al. Feb 2006 A1
20060033110 Alam et al. Feb 2006 A1
20060033124 Or-Bach et al. Feb 2006 A1
20060043367 Chang et al. Feb 2006 A1
20060049449 Iino Mar 2006 A1
20060065953 Kim et al. Mar 2006 A1
20060067122 Verhoeven Mar 2006 A1
20060071322 Kitamura Apr 2006 A1
20060071332 Speers Apr 2006 A1
20060083280 Tauzin et al. Apr 2006 A1
20060108613 Song May 2006 A1
20060113522 Lee et al. Jun 2006 A1
20060118935 Kamiyama et al. Jun 2006 A1
20060121690 Pogge et al. Jun 2006 A1
20060150137 Madurawe Jul 2006 A1
20060158511 Harrold Jul 2006 A1
20060170046 Hara Aug 2006 A1
20060179417 Madurawe Aug 2006 A1
20060181202 Liao et al. Aug 2006 A1
20060189095 Ghyselen et al. Aug 2006 A1
20060194401 Hu et al. Aug 2006 A1
20060195729 Huppenthal et al. Aug 2006 A1
20060207087 Jafri et al. Sep 2006 A1
20060224814 Kim et al. Oct 2006 A1
20060237777 Choi Oct 2006 A1
20060249859 Eiles et al. Nov 2006 A1
20060275962 Lee Dec 2006 A1
20070004150 Huang Jan 2007 A1
20070014508 Chen et al. Jan 2007 A1
20070035329 Madurawe Feb 2007 A1
20070063259 Derderian et al. Mar 2007 A1
20070072391 Pocas et al. Mar 2007 A1
20070076509 Zhang Apr 2007 A1
20070077694 Lee Apr 2007 A1
20070077743 Rao et al. Apr 2007 A1
20070090416 Doyle et al. Apr 2007 A1
20070102737 Kashiwabara et al. May 2007 A1
20070103191 Sugawara et al. May 2007 A1
20070108523 Ogawa et al. May 2007 A1
20070109831 RaghuRam May 2007 A1
20070111386 Kim et al. May 2007 A1
20070111406 Joshi et al. May 2007 A1
20070132049 Stipe Jun 2007 A1
20070132369 Forrest et al. Jun 2007 A1
20070135013 Faris Jun 2007 A1
20070141781 Park Jun 2007 A1
20070158659 Bensce Jul 2007 A1
20070158831 Cha et al. Jul 2007 A1
20070187775 Okhonin et al. Aug 2007 A1
20070190746 Ito et al. Aug 2007 A1
20070194453 Chakraborty et al. Aug 2007 A1
20070206408 Schwerin Sep 2007 A1
20070210336 Madurawe Sep 2007 A1
20070211535 Kim Sep 2007 A1
20070215903 Sakamoto et al. Sep 2007 A1
20070218622 Lee et al. Sep 2007 A1
20070228383 Bernstein et al. Oct 2007 A1
20070252201 Kito et al. Nov 2007 A1
20070252203 Zhu et al. Nov 2007 A1
20070262457 Lin Nov 2007 A1
20070275520 Suzuki Nov 2007 A1
20070281439 Bedell et al. Dec 2007 A1
20070283298 Bernstein et al. Dec 2007 A1
20070287224 Alam et al. Dec 2007 A1
20070296073 Wu Dec 2007 A1
20080001204 Lee Jan 2008 A1
20080003818 Seidel et al. Jan 2008 A1
20080030228 Amarilio Feb 2008 A1
20080032463 Lee Feb 2008 A1
20080038902 Lee Feb 2008 A1
20080048239 Huo Feb 2008 A1
20080048327 Lee Feb 2008 A1
20080054359 Yang et al. Mar 2008 A1
20080067573 Jang et al. Mar 2008 A1
20080070340 Borrelli et al. Mar 2008 A1
20080072182 He et al. Mar 2008 A1
20080099780 Tran May 2008 A1
20080099819 Kito et al. May 2008 A1
20080108171 Rogers et al. May 2008 A1
20080124845 Yu et al. May 2008 A1
20080128745 Mastro et al. Jun 2008 A1
20080128780 Nishihara Jun 2008 A1
20080135949 Lo et al. Jun 2008 A1
20080136455 Diamant et al. Jun 2008 A1
20080142937 Chen et al. Jun 2008 A1
20080142959 DeMulder et al. Jun 2008 A1
20080143379 Norman Jun 2008 A1
20080150579 Madurawe Jun 2008 A1
20080160431 Scott et al. Jul 2008 A1
20080160726 Lim et al. Jul 2008 A1
20080165521 Bernstein et al. Jul 2008 A1
20080175032 Tanaka et al. Jul 2008 A1
20080179678 Dyer et al. Jul 2008 A1
20080180132 Ishikawa Jul 2008 A1
20080185648 Jeong Aug 2008 A1
20080191247 Yin et al. Aug 2008 A1
20080191312 Oh et al. Aug 2008 A1
20080194068 Temmler et al. Aug 2008 A1
20080203452 Moon et al. Aug 2008 A1
20080213982 Park et al. Sep 2008 A1
20080220558 Zehavi et al. Sep 2008 A1
20080220565 Hsu et al. Sep 2008 A1
20080224260 Schmit et al. Sep 2008 A1
20080237591 Leedy Oct 2008 A1
20080239818 Mokhlesi Oct 2008 A1
20080242028 Mokhlesi Oct 2008 A1
20080248618 Ahn et al. Oct 2008 A1
20080251862 Fonash et al. Oct 2008 A1
20080254561 Yoo Oct 2008 A2
20080254572 Leedy Oct 2008 A1
20080254623 Chan Oct 2008 A1
20080261378 Yao et al. Oct 2008 A1
20080266960 Kuo Oct 2008 A1
20080272492 Tsang Nov 2008 A1
20080277778 Furman et al. Nov 2008 A1
20080283873 Yang Nov 2008 A1
20080283875 Mukasa et al. Nov 2008 A1
20080284611 Leedy Nov 2008 A1
20080296681 Georgakos et al. Dec 2008 A1
20080315253 Yuan Dec 2008 A1
20080315351 Kakehata Dec 2008 A1
20090001469 Yoshida et al. Jan 2009 A1
20090001504 Takei et al. Jan 2009 A1
20090016716 Ishida Jan 2009 A1
20090026541 Chung Jan 2009 A1
20090026618 Kim Jan 2009 A1
20090032899 Irie Feb 2009 A1
20090032951 Andry et al. Feb 2009 A1
20090039918 Madurawe Feb 2009 A1
20090052827 Durfee et al. Feb 2009 A1
20090055789 McIlrath Feb 2009 A1
20090057879 Garrou et al. Mar 2009 A1
20090061572 Hareland et al. Mar 2009 A1
20090064058 McIlrath Mar 2009 A1
20090065827 Hwang Mar 2009 A1
20090066365 Solomon Mar 2009 A1
20090066366 Solomon Mar 2009 A1
20090070721 Solomon Mar 2009 A1
20090070727 Solomon Mar 2009 A1
20090078970 Yamazaki Mar 2009 A1
20090079000 Yamazaki et al. Mar 2009 A1
20090081848 Erokhin Mar 2009 A1
20090087759 Matsumoto et al. Apr 2009 A1
20090096009 Dong et al. Apr 2009 A1
20090096024 Shingu et al. Apr 2009 A1
20090108318 Yoon et al. Apr 2009 A1
20090115042 Koyanagi May 2009 A1
20090128189 Madurawe et al. May 2009 A1
20090134397 Yokoi et al. May 2009 A1
20090144669 Bose et al. Jun 2009 A1
20090144678 Bose et al. Jun 2009 A1
20090146172 Pumyea Jun 2009 A1
20090159870 Lin et al. Jun 2009 A1
20090160482 Karp et al. Jun 2009 A1
20090161401 Bigler et al. Jun 2009 A1
20090162993 Yui et al. Jun 2009 A1
20090166627 Han Jul 2009 A1
20090174018 Dungan Jul 2009 A1
20090179268 Abou-Khalil et al. Jul 2009 A1
20090185407 Park Jul 2009 A1
20090194152 Liu et al. Aug 2009 A1
20090194768 Leedy Aug 2009 A1
20090194829 Chung Aug 2009 A1
20090194836 Kim Aug 2009 A1
20090204933 Rezgui Aug 2009 A1
20090212317 Kolodin et al. Aug 2009 A1
20090218627 Zhu Sep 2009 A1
20090221110 Lee et al. Sep 2009 A1
20090224330 Hong Sep 2009 A1
20090224364 Oh et al. Sep 2009 A1
20090230462 Tanaka et al. Sep 2009 A1
20090234331 Langereis et al. Sep 2009 A1
20090236749 Otemba et al. Sep 2009 A1
20090242893 Tomiyasu Oct 2009 A1
20090242935 Fitzgerald Oct 2009 A1
20090250686 Sato et al. Oct 2009 A1
20090262572 Krusin-Elbaum Oct 2009 A1
20090262583 Lue Oct 2009 A1
20090263942 Ohnuma et al. Oct 2009 A1
20090267233 Lee Oct 2009 A1
20090268983 Stone et al. Oct 2009 A1
20090272989 Shum et al. Nov 2009 A1
20090290434 Kurjanowicz Nov 2009 A1
20090294822 Batude et al. Dec 2009 A1
20090294836 Kiyotoshi Dec 2009 A1
20090294861 Thomas et al. Dec 2009 A1
20090302294 Kim Dec 2009 A1
20090302387 Joshi et al. Dec 2009 A1
20090302394 Fujita Dec 2009 A1
20090309152 Knoefler et al. Dec 2009 A1
20090315095 Kim Dec 2009 A1
20090317950 Okihara Dec 2009 A1
20090321830 Maly Dec 2009 A1
20090321853 Cheng Dec 2009 A1
20090321948 Wang et al. Dec 2009 A1
20090325343 Lee Dec 2009 A1
20100001282 Mieno Jan 2010 A1
20100013049 Tanaka Jan 2010 A1
20100025766 Nuttinck et al. Feb 2010 A1
20100025825 DeGraw et al. Feb 2010 A1
20100031217 Sinha et al. Feb 2010 A1
20100038699 Katsumata et al. Feb 2010 A1
20100038743 Lee Feb 2010 A1
20100045849 Yamasaki Feb 2010 A1
20100052134 Werner et al. Mar 2010 A1
20100058580 Yazdani Mar 2010 A1
20100059796 Scheuerlein Mar 2010 A1
20100078770 Purushothaman et al. Apr 2010 A1
20100081232 Furman et al. Apr 2010 A1
20100089627 Huang et al. Apr 2010 A1
20100090188 Fatasuyama Apr 2010 A1
20100112753 Lee May 2010 A1
20100112810 Lee et al. May 2010 A1
20100117048 Lung et al. May 2010 A1
20100123202 Hofmann May 2010 A1
20100123480 Kitada et al. May 2010 A1
20100133695 Lee Jun 2010 A1
20100133704 Marimuthu et al. Jun 2010 A1
20100137143 Rothberg et al. Jun 2010 A1
20100139836 Horikoshi Jun 2010 A1
20100140790 Setiadi et al. Jun 2010 A1
20100155932 Gambino Jun 2010 A1
20100157117 Wang Jun 2010 A1
20100159650 Song Jun 2010 A1
20100190334 Lee Jul 2010 A1
20100193884 Park et al. Aug 2010 A1
20100193964 Farooq et al. Aug 2010 A1
20100219392 Awaya Sep 2010 A1
20100221867 Bedell et al. Sep 2010 A1
20100224876 Zhu Sep 2010 A1
20100224915 Kawashima et al. Sep 2010 A1
20100225002 Law et al. Sep 2010 A1
20100232200 Shepard Sep 2010 A1
20100252934 Law Oct 2010 A1
20100276662 Colinge Nov 2010 A1
20100297844 Yelehanka Nov 2010 A1
20100307572 Bedell et al. Dec 2010 A1
20100308211 Cho et al. Dec 2010 A1
20100308863 Gliese et al. Dec 2010 A1
20100320514 Tredwell Dec 2010 A1
20100320526 Kidoh et al. Dec 2010 A1
20100330728 McCarten Dec 2010 A1
20100330752 Jeong Dec 2010 A1
20110001172 Lee Jan 2011 A1
20110003438 Lee Jan 2011 A1
20110024724 Frolov et al. Feb 2011 A1
20110026263 Xu Feb 2011 A1
20110027967 Beyne Feb 2011 A1
20110037052 Schmidt et al. Feb 2011 A1
20110042696 Smith et al. Feb 2011 A1
20110049336 Matsunuma Mar 2011 A1
20110050125 Medendorp et al. Mar 2011 A1
20110053332 Lee Mar 2011 A1
20110101537 Barth et al. May 2011 A1
20110102014 Madurawe May 2011 A1
20110111560 Purushothaman May 2011 A1
20110115023 Cheng May 2011 A1
20110143506 Lee Jun 2011 A1
20110147791 Norman et al. Jun 2011 A1
20110147849 Augendre et al. Jun 2011 A1
20110159635 Doan et al. Jun 2011 A1
20110170331 Oh Jul 2011 A1
20110221022 Toda Sep 2011 A1
20110222356 Banna Sep 2011 A1
20110227158 Zhu Sep 2011 A1
20110241082 Bernstein et al. Oct 2011 A1
20110284946 Kiyotoshi Nov 2011 A1
20110284992 Zhu Nov 2011 A1
20110286283 Lung et al. Nov 2011 A1
20110304765 Yogo et al. Dec 2011 A1
20110309432 Ishihara et al. Dec 2011 A1
20110314437 McIlrath Dec 2011 A1
20120001184 Ha et al. Jan 2012 A1
20120003815 Lee Jan 2012 A1
20120013013 Sadaka et al. Jan 2012 A1
20120025388 Law et al. Feb 2012 A1
20120032250 Son et al. Feb 2012 A1
20120034759 Sakaguchi et al. Feb 2012 A1
20120063090 Hsiao et al. Mar 2012 A1
20120074466 Setiadi et al. Mar 2012 A1
20120086100 Andry Apr 2012 A1
20120126197 Chung May 2012 A1
20120161310 Brindle et al. Jun 2012 A1
20120169319 Dennard Jul 2012 A1
20120178211 Hebert Jul 2012 A1
20120181654 Lue Jul 2012 A1
20120182801 Lue Jul 2012 A1
20120187444 Oh Jul 2012 A1
20120193785 Lin Aug 2012 A1
20120241919 Mitani Sep 2012 A1
20120286822 Madurawe Nov 2012 A1
20120304142 Morimoto Nov 2012 A1
20120317528 McIlrath Dec 2012 A1
20120319728 Madurawe Dec 2012 A1
20130026663 Radu et al. Jan 2013 A1
20130037802 England Feb 2013 A1
20130049796 Pang Feb 2013 A1
20130070506 Kajigaya Mar 2013 A1
20130082235 Gu et al. Apr 2013 A1
20130097574 Balabanov et al. Apr 2013 A1
20130100743 Lue Apr 2013 A1
20130128666 Avila May 2013 A1
20130187720 Ishii Jul 2013 A1
20130193550 Sklenard et al. Aug 2013 A1
20130196500 Batude et al. Aug 2013 A1
20130203248 Ernst et al. Aug 2013 A1
20130263393 Mazumder Oct 2013 A1
20130337601 Kapur Dec 2013 A1
20140015136 Gan et al. Jan 2014 A1
20140048867 Toh Feb 2014 A1
20140099761 Kim et al. Apr 2014 A1
20140103959 Andreev Apr 2014 A1
20140117413 Madurawe May 2014 A1
20140120695 Ohtsuki May 2014 A1
20140131885 Samadi et al. May 2014 A1
20140137061 McIlrath May 2014 A1
20140145347 Samadi et al. May 2014 A1
20140146630 Xie et al. May 2014 A1
20140149958 Samadi et al. May 2014 A1
20140151774 Rhie Jun 2014 A1
20140191357 Lee Jul 2014 A1
20140225218 Du Aug 2014 A1
20140225235 Du Aug 2014 A1
20140252306 Du Sep 2014 A1
20140253196 Du et al. Sep 2014 A1
20140264228 Toh Sep 2014 A1
20140357054 Son et al. Dec 2014 A1
20150243887 Saitoh Aug 2015 A1
20150255418 Gowda Sep 2015 A1
20150318245 Uchida Nov 2015 A1
20160035672 Funaya Feb 2016 A1
20160049201 Lue Feb 2016 A1
20160104780 Mauder Apr 2016 A1
20160141334 Takaki May 2016 A1
20160307952 Huang Oct 2016 A1
20160343687 Vadhavkar Nov 2016 A1
20170092371 Harari Mar 2017 A1
20170148517 Harari May 2017 A1
20170179146 Park Jun 2017 A1
20170221900 Widjaja Aug 2017 A1
20180090368 Eun-Jeong K et al. Mar 2018 A1
20180108416 Harari Apr 2018 A1
20180294284 Tarakji Oct 2018 A1
Foreign Referenced Citations (2)
Number Date Country
1267594 Dec 2002 EP
PCTUS2008063483 May 2008 WO
Non-Patent Literature Citations (270)
Entry
Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366.
Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8.
Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334.
Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419.
Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol., No., pp. 14-15, Jun. 12-14, 2007.
Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132.
Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189.
Dicioccio, L., et. al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113.
Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189.
Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009.
Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ϕFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640.
Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9.
Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74.
Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64.
Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004.
Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12.
Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635.
Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1.
Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4.
Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042.
Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15.
Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125.
Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31.
Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52.
Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4.
Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348.
Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59.
Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5.
Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673.
Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71.
Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447.
Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages.
Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D Nano Electronic Systems”, 2009, Artech House.
Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009.
Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1.
Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10.
Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726.
Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings.
Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009.
Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107.
Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103.
Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220.
Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335.
Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4.
Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4.
Lee, Y.-J., et. al, “3D 65nm CMOS with 320° C. Microwave Dopant Activation”, IEDM 2010, pp. 1-4.
Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58.
Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C. by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236.
Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268.
Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003.
Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112.
Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010.
Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4.
Jung, S.-M., et al., ““Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node””, IEDM 2006, Dec. 11-13, 2006.
Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003.
Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22.
Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221.
Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415.
Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106.
Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong.
Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010.
Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220.
Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17.
Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008.
Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203.
Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894.
Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005.
Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation.
Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415.
Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660.
Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45.
Kim, K., “From the Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9.
Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg.
Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010.
Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510.
Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122.
Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764.
Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006.
Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501.
Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4.
Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003.
Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100.
Sadaka, M., et al., “Building Blocks for wafer level 3D integration”,www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010.
Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society.
Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96.
Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986.
Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945.
Kunio, T., et al., “Three Dimensional Ics, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840.
Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283.
Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014.
Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45.
Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco.
Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4.
Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154.
Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774.
Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87.
Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA.
Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010.
Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005.
Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012.
Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436.
Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011).
Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168.
Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499.
Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012).
Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236.
Batude, P., et al., “3D Sequential Integration: A Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722.
Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319.
Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol., No., pp. 562-567, Jun. 4-8, 2007.
Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50 (14) 63-68 (2012).
Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013).
Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007.
Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30.
Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115.
Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Phyisics Reviews, 1, 011104 (2014).
Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5.
Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003.
Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005.
Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447.
Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000).
Yonehara, T. et al., “Elton®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4.
Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720.
Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009.
Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development , vol. 52, No. 4.5, pp. 449-464, Jul. 2008.
Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003).
Auth, C., et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129.
Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247.
Ragnarsson, L., et al., “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance Cmos Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009.
Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185.
Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169.
Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219.
James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377.
Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001.
Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006.
Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683.
Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007.
Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17.
Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to 053511-2, 2009.
Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004.
Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005.
Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005.
Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007.
Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190.
Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News.
Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007).
Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6.
Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41.
Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92.
Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes,” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22.
El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002.
Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9.
Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>.
Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804.
Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3.
Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428.
Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576.
Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150.
Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913.
Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663.
Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4.
Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337.
Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591.
Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2.
Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852.
Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28.
Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4.
Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150.
Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403.
Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277.
Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223.
Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004.
Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12.
Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230.
Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10.
Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299.
Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618.
Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54 (11), Nov. 2005, pp. 1329-1344.
Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14.
Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207.
Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18.
Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670.
Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663.
Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297.
Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763.
Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459.
Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22.
Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308.
Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings Date, pp. 1338-1343.
McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96.
Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3).
Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175.
Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378.
Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558.
Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3.
Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493.
Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215.
Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517.
Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45.
Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647.
Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902.
Kim, G.-S., et al., “A 25-mV-sensitivity 2-Gb/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713.
Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452.
Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292.
Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24.
Meindl, J. D., “Beyond Moore'S Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24.
Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410.
He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030.
Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco.
Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008).
Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36.
Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467.
Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22.
Borland, J.O., “Low Temperature Activation of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88.
Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054.
El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs.
Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332.
Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16.
Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567.
Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733.
Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109.
Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25.
Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223.
Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460.
Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268.
Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers.
Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169.
Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006.
Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006.
Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006.
Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226.
Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All-Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938.
Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12.
Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49.
Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510.
Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040.
Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51.
Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127.
Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134.
Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963.
Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006).
Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276.
Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263.
Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986.
Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088.
Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323.
Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408.
Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994).
Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628.
Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007).
Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003).
Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998).
Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70.
En, W. G., et al., “The Genesis Process”: A New SOI wafer fabrication method, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164.
Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24.
He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249.
Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002.
Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992.
Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003.
Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008.
Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Appl,ied Physics, vol. 46, No. 1, 2007, pp. 21-23.
FOA-De3 Apr. 26, 2013: Faynot, O. et al., “Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol., No., pp. 3.2.1, 3.2.4, Dec. 6-8, 2010.
FOA-De3 Apr. 26, 2013: Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan.
Email/mtg Byoung Hun Lee: Kim, I.-K., et al.,“Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4.
Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61.
Related Publications (1)
Number Date Country
20180301380 A1 Oct 2018 US
Continuations (1)
Number Date Country
Parent 13635436 US
Child 13623756 US
Continuation in Parts (4)
Number Date Country
Parent 15904347 Feb 2018 US
Child 15950169 US
Parent 15488514 Apr 2017 US
Child 15904347 US
Parent 14975830 Dec 2015 US
Child 15488514 US
Parent 13623756 Sep 2012 US
Child 14975830 US