Membership
Tour
Register
Log in
Discharge tubes with provision for introducing objects or material to be exposed to the discharge
Follow
Industry
CPC
H01J37/00
This industry / category may be too specific. Please go to a parent level for more data
Parent Industries
H
ELECTRICITY
H01
Electric elements
H01J
ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
Current Industry
H01J37/00
Discharge tubes with provision for introducing objects or material to be exposed to the discharge
Sub Industries
H01J37/02
Details
H01J37/023
Means for mechanically adjusting components not otherwise provided for
H01J37/026
Means for avoiding or neutralising unwanted electrical charges on tube components
H01J37/04
Arrangements of electrodes and associated parts for generating or controlling the discharge
H01J37/045
Beam blanking or chopping
H01J37/05
Electron or ion-optical arrangements for separating electrons or ions according to their energy or mass
H01J37/06
Electron sources Electron guns
H01J37/061
Electron guns using electron multiplication
H01J37/063
Geometrical arrangement of electrodes for beam-forming
H01J37/065
Construction of guns or parts thereof
H01J37/067
Replacing parts of guns Mutual adjustment of electrodes
H01J37/07
Eliminating deleterious effects due to thermal effects or electric or magnetic fields
H01J37/073
Electron guns using field emission, photo emission, or secondary emission electron sources
H01J37/075
Electron guns using thermionic emission from cathodes heated by particle bombardment or by irradiation
H01J37/077
Electron guns using discharge in gases or vapours as electron sources
H01J37/08
Ion sources Ion guns
H01J37/09
Diaphragms Shields associated with electron or ion-optical arrangements Compensation of disturbing fields
H01J37/10
Lenses
H01J37/12
electrostatic
H01J37/14
magnetic
H01J37/141
Electromagnetic lenses
H01J37/1413
Means for interchanging parts of the lens
H01J37/1416
with supra-conducting coils
H01J37/143
Permanent magnetic lenses
H01J37/145
Combinations of electrostatic and magnetic lenses
H01J37/147
Arrangements for directing or deflecting the discharge along a desired path
H01J37/1471
for centering, aligning or positioning of ray or beam
H01J37/1472
Deflecting along given lines
H01J37/1474
Scanning means
H01J37/1475
magnetic
H01J37/1477
electrostatic
H01J37/1478
Beam tilting means
H01J37/15
External mechanical adjustment of electron or ion optical components
H01J37/153
Electron-optical or ion-optical arrangements for the correction of image defects
H01J37/16
Vessels Containers
H01J37/165
Means associated with the vessel for preventing the generation of or for shielding unwanted radiation
H01J37/18
Vacuum locks; Means for obtaining or maintaining the desired pressure within the vessel
H01J37/185
Means for transferring objects between different enclosures of different pressure or atmosphere
H01J37/20
Means for supporting or positioning the objects or the material Means for adjusting diaphragms or lenses associated with the support
H01J37/21
Means for adjusting the focus
H01J37/22
Optical or photographic arrangements associated with the tube
H01J37/222
Image processing arrangements associated with the tube
H01J37/224
Luminescent screens or photographic plates for imaging ; Apparatus specially adapted therefor
H01J37/226
Optical arrangements for illuminating the object; optical arrangements for collecting light from the object
H01J37/228
whereby illumination and light collection take place in the same area of the discharge
H01J37/24
Circuit arrangements not adapted to a particular application of the tube and not otherwise provided for
H01J37/241
High voltage power supply or regulation circuits
H01J37/242
Filament heating power supply or regulation circuits
H01J37/243
Beam current control or regulation circuits
H01J37/244
Detectors Associated components or circuits therefor
H01J37/248
Components associated with high voltage supply
H01J37/252
Tubes for spot-analysing by electron or ion beams Microanalysers
H01J37/256
using scanning beams
H01J37/26
Electron or ion microscopes Electron or ion diffraction tubes
H01J37/261
Details
H01J37/263
Contrast, resolution or power of penetration
H01J37/265
Controlling the tube; circuit arrangements adapted to a particular application not otherwise provided
H01J37/266
Measurement of magnetic- or electric fields in the object; Lorentzmicroscopy
H01J37/268
with scanning beams
H01J37/27
Shadow microscopy
H01J37/28
with scanning beams
H01J37/285
Emission microscopes
H01J37/29
Reflection microscopes
H01J37/292
using scanning ray
H01J37/295
Electron or ion diffraction tubes
H01J37/2955
using scanning ray
H01J37/30
Electron-beam or ion-beam tubes for localised treatment of objects
H01J37/3002
Details
H01J37/3005
Observing the objects or the point of impact on the object
H01J37/3007
Electron or ion-optical systems
H01J37/301
Arrangements enabling beams to pass between regions of different pressure
H01J37/302
Controlling tubes by external information
H01J37/3023
Programme control
H01J37/3026
Patterning strategy
H01J37/304
Controlling tubes by information coming from the objects or from the beam
H01J37/3045
Object or beam position registration
H01J37/305
for casting, melting, evaporating or etching
H01J37/3053
for evaporating or etching
H01J37/3056
for microworking
H01J37/31
for cutting or drilling
H01J37/315
for welding
H01J37/317
for changing properties of the objects or for applying thin layers thereon
H01J37/3171
for ion implantation
H01J37/3172
Maskless patterned ion implantation
H01J37/3174
Particle-beam lithography
H01J37/3175
Projection methods
H01J37/3177
Multi-beam
H01J37/3178
for applying thin layers on objects
H01J37/32
Gas-filled discharge tubes
H01J37/32009
Arrangements for generation of plasma specially adapted for examination or treatment of objects
H01J37/32018
Glow discharge
H01J37/32027
DC powered
H01J37/32036
AC powered
H01J37/32045
Circuits specially adapted for controlling the glow discharge
H01J37/32055
Arc discharge
H01J37/32064
Circuits specially adapted for controlling the arc discharge
H01J37/32073
Corona discharge
H01J37/32082
Radio frequency generated discharge
H01J37/32091
the radio frequency energy being capacitively coupled to the plasma
H01J37/321
the radio frequency energy being inductively coupled to the plasma
H01J37/3211
Antennas
H01J37/32119
Windows
H01J37/32128
using particular waveforms
H01J37/32137
controlling of the discharge by modulation of energy
H01J37/32146
Amplitude modulation, includes pulsing
H01J37/32155
Frequency modulation
H01J37/32165
Plural frequencies
H01J37/32174
Circuits specially adapted for controlling the RF discharge
H01J37/32183
Matching circuits, impedance matching circuits per se H03H7/38 and H03H7/40
H01J37/32192
Microwave generated discharge
H01J37/32201
Generating means
H01J37/32211
Means for coupling power to the plasma
H01J37/3222
Antennas
H01J37/32229
Waveguides
H01J37/32238
Windows
H01J37/32247
Resonators
H01J37/32256
Tuning means
H01J37/32266
Means for controlling power transmitted to the plasma
H01J37/32275
Microwave reflectors
H01J37/32284
Means for controlling or selecting resonance mode
H01J37/32293
using particular waveforms
H01J37/32302
Plural frequencies
H01J37/32311
Circuits specially adapted for controlling the microwave discharge
H01J37/32321
Discharge generated by other radiation
H01J37/3233
using charged particles
H01J37/32339
using electromagnetic radiation
H01J37/32348
Dielectric barrier discharge
H01J37/32357
Generation remote from the workpiece
H01J37/32366
Localised processing
H01J37/32376
Scanning across large workpieces
H01J37/32385
Treating the edge of the workpieces
H01J37/32394
Treating interior parts of workpieces
H01J37/32403
Treating multiple sides of workpieces
H01J37/32412
Plasma immersion ion implantation
H01J37/32422
Arrangement for selecting ions or species in the plasma
H01J37/32431
Constructional details of the reactor
H01J37/3244
Gas supply means
H01J37/32449
Gas control
H01J37/32458
Vessel
H01J37/32467
Material
H01J37/32477
characterised by the means for protecting vessels or internal parts
H01J37/32486
Means for reducing recombination coefficient
H01J37/32495
Means for protecting the vessel against plasma
H01J37/32504
Means for preventing sputtering of the vessel
H01J37/32513
Sealing means
H01J37/32522
Temperature
H01J37/32532
Electrodes
H01J37/32541
Shape
H01J37/3255
Material
H01J37/32559
Protection means
H01J37/32568
Relative arrangement or disposition of electrodes; moving means
H01J37/32577
Electrical connecting means
H01J37/32587
Triode systems
H01J37/32596
Hollow cathodes
H01J37/32605
Removable or replaceable electrodes or electrode systems
H01J37/32614
Consumable cathodes for arc discharge
H01J37/32623
Mechanical discharge control means
H01J37/32633
Baffles
H01J37/32642
Focus rings
H01J37/32651
Shields
H01J37/3266
Magnetic control means
H01J37/32669
Particular magnets or magnet arrangements for controlling the discharge
H01J37/32678
Electron cyclotron resonance
H01J37/32688
Multi-cusp fields
H01J37/32697
Electrostatic control
H01J37/32706
Polarising the substrate
H01J37/32715
Workpiece holder
H01J37/32724
Temperature
H01J37/32733
Means for moving the material to be treated
H01J37/32743
for introducing the material into processing chamber
H01J37/32752
for moving the material across the discharge
H01J37/32761
Continuous moving
H01J37/3277
of continuous material
H01J37/32779
of batches of workpieces
H01J37/32788
for extracting the material from the process chamber
H01J37/32798
Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
H01J37/32807
Construction (includes replacing parts of the apparatus)
H01J37/32816
Pressure
H01J37/32825
Working under atmospheric pressure or higher
H01J37/32834
Exhausting
H01J37/32844
Treating effluent gases
H01J37/32853
Hygiene
H01J37/32862
In situ cleaning of vessels and/or internal parts
H01J37/32871
Means for trapping or directing unwanted particles
H01J37/3288
Maintenance
H01J37/32889
Connection or combination with other apparatus
H01J37/32899
Multiple chambers
H01J37/32908
Utilities
H01J37/32917
Plasma diagnostics
H01J37/32926
Software, data control or modelling
H01J37/32935
Monitoring and controlling tubes by information coming from the object and/or discharge
H01J37/32944
Arc detection
H01J37/32954
Electron temperature measurement
H01J37/32963
End-point detection
H01J37/32972
Spectral analysis
H01J37/32981
Gas analysis
H01J37/3299
Feedback systems
H01J37/34
operating with cathodic sputtering
H01J37/3402
using supplementary magnetic fields
H01J37/3405
Magnetron sputtering
H01J37/3408
Planar magnetron sputtering
H01J37/3411
Constructional aspects of the reactor
H01J37/3414
Targets
H01J37/3417
Arrangements
H01J37/342
Hollow targets
H01J37/3423
Shape
H01J37/3426
Material
H01J37/3429
Plural materials
H01J37/3432
Target-material dispenser
H01J37/3435
Target holders (includes backing plates and endblocks)
H01J37/3438
Electrodes other than cathode
H01J37/3441
Dark space shields
H01J37/3444
Associated circuits
H01J37/3447
Collimators, shutters, apertures
H01J37/345
Magnet arrangements in particular for cathodic sputtering apparatus
H01J37/3452
Magnet distribution
H01J37/3455
Movable magnets
H01J37/3458
Electromagnets in particular for cathodic sputtering apparatus
H01J37/3461
Means for shaping the magnetic field
H01J37/3464
Operating strategies
H01J37/3467
Pulsed operation
H01J37/347
Thickness uniformity of coated layers or desired profile of target erosion
H01J37/3473
Composition uniformity or desired gradient
H01J37/3476
Testing and control
H01J37/3479
Detecting exhaustion of target material
H01J37/3482
Detecting or avoiding eroding through
H01J37/3485
Means for avoiding target poisoning
H01J37/3488
Constructional details of particle beam apparatus not otherwise provided for
H01J37/3491
Manufacturing of targets
H01J37/3494
Adaptation to extreme pressure conditions
H01J37/3497
Temperature of target
H01J37/36
for cleaning surfaces while plating with ions of materials introduced into the discharge
Industries
Overview
Organizations
People
Information
Impact
Please log in for detailed analytics
Patents Grants
last 30 patents
Information
Patent Grant
Selective deposition of material comprising silicon and oxygen usin...
Patent number
12,227,835
Issue date
Feb 18, 2025
ASM IP Holding B.V.
Viraj Madhiwala
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Ultra-precision timing clock method
Patent number
12,226,246
Issue date
Feb 18, 2025
Weng-Dah Ken
A61 - MEDICAL OR VETERINARY SCIENCE HYGIENE
Information
Patent Grant
Broad ion beam (BIB) systems for more efficient processing of multi...
Patent number
12,228,484
Issue date
Feb 18, 2025
FEI Company
Michal Hrouzek
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Housing, mobile terminal, and sputter coating apparatus
Patent number
12,228,759
Issue date
Feb 18, 2025
Huawei Technologies Co., Ltd.
Peiling Lin
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Ion milling device
Patent number
12,230,471
Issue date
Feb 18, 2025
HITACHI HIGH-TECH CORPORATION
Shota Aida
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Processing chamber with multiple plasma units
Patent number
12,230,479
Issue date
Feb 18, 2025
Applied Materials, Inc.
Kazuya Daito
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Apparatus for and method of local control of a charged particle beam
Patent number
12,230,469
Issue date
Feb 18, 2025
ASML Netherlands B.V.
Albertus Victor Gerardus Mangnus
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Systems and methods of control for plasma processing
Patent number
12,230,475
Issue date
Feb 18, 2025
Tokyo Electron Limited
Alok Ranjan
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Charged particle emission device, system, method, and program
Patent number
12,230,478
Issue date
Feb 18, 2025
Shishido Electrostatic, Ltd.
Katsuyuki Takahashi
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Plasma reactor having array of coaxial multiple pins and processing...
Patent number
12,230,481
Issue date
Feb 18, 2025
HANGZHOU CITY UNIVERSITY
Qi Qiu
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Moveable edge ring designs
Patent number
12,230,482
Issue date
Feb 18, 2025
Lam Research Corporation
Hiran Rajitha Rathnasinghe
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Nanosecond pulser ADC system
Patent number
12,230,477
Issue date
Feb 18, 2025
Eagle Harbor Technologies, Inc.
Kenneth Miller
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Detaching and installing device for gas distribution plate of etchi...
Patent number
12,230,480
Issue date
Feb 18, 2025
CHANGXIN MEMORY TECHNOLOGIES, INC.
Ko Wei Chen
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Deposition method and processing apparatus
Patent number
12,230,483
Issue date
Feb 18, 2025
Tokyo Electron Limited
Takehiro Tanikawa
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Plasma processing apparatus and plasma processing method
Patent number
12,230,484
Issue date
Feb 18, 2025
PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
Atsushi Harikai
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Effective temperature calculation method for multi-charged particle...
Patent number
12,230,472
Issue date
Feb 18, 2025
NuFlare Technology, Inc.
Shingo Mori
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Substrate processing apparatus, method of manufacturing semiconduct...
Patent number
12,230,474
Issue date
Feb 18, 2025
Kokusai Electric Corporation
Takeshi Yasui
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Integrated control of a plasma processing system
Patent number
12,230,476
Issue date
Feb 18, 2025
Advanced Energy Industries, Inc.
Gideon Van Zyl
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Method of depositing silicon nitride films
Patent number
12,230,495
Issue date
Feb 18, 2025
Lam Research Corporation
James S. Sims
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Process and device for diamond synthesis by CVD
Patent number
12,227,834
Issue date
Feb 18, 2025
DIAROTECH
Horacio Tellez Oliva
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Sealing article comprising metal coating, method of making and meth...
Patent number
12,227,839
Issue date
Feb 18, 2025
Taiwan Semiconductor Manufacturing Co., Ltd.
Peng-Cheng Hong
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Charged particle detector with gain element
Patent number
12,230,470
Issue date
Feb 18, 2025
ASML Netherlands B.V.
Yongxin Wang
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Yttrium ingot and sputtering target in which the yttrium ingot is used
Patent number
12,227,830
Issue date
Feb 18, 2025
Tosoh Corporation
Yuya Tsuchida
B22 - CASTING POWDER METALLURGY
Information
Patent Grant
Ex situ coating of chamber components for semiconductor processing
Patent number
12,227,837
Issue date
Feb 18, 2025
Lam Research Corporation
Damodar Rajaram Shanbhag
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Substrate processing apparatus and substrate processing method usin...
Patent number
12,227,838
Issue date
Feb 18, 2025
Semes Co., Ltd.
Ban Seok You
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Temperature-controlled surface with a cryo-nanomanipulator for impr...
Patent number
12,230,473
Issue date
Feb 18, 2025
Applied Materials Israel Ltd.
Yehuda Zur
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Sputtering target for magnetic recording medium
Patent number
12,230,485
Issue date
Feb 18, 2025
Tanaka Kikinzoku Kogyo K.K.
Tomonari Kamada
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...
Information
Patent Grant
Plasma etching method and plasma etching apparatus
Patent number
12,230,504
Issue date
Feb 18, 2025
Kioxia Corporation
Junji Kataoka
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Multi-beam charged particle source with alignment means
Patent number
12,224,152
Issue date
Feb 11, 2025
Technische Universiteit Delft
Pieter Kruit
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Grant
Magnetic-field-assisted plasma coating system
Patent number
12,224,165
Issue date
Feb 11, 2025
Board of Trustees of Michigan State University
Thomas Schuelke
H01 - BASIC ELECTRIC ELEMENTS
Patents Applications
last 30 patents
Information
Patent Application
RIBBON BEAM ANGLE ADJUSTMENT IN AN ION IMPLANTATION SYSTEM
Publication number
20250062097
Publication date
Feb 20, 2025
ADVANCED ION BEAM TECHNOLOGY, INC.
Zhimin WAN
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
LASER-BASED CONTRAST CONTROL IN TRANSMISSION ELECTRON MICROSCOPY
Publication number
20250062099
Publication date
Feb 20, 2025
Yeda Research and Development Co. Ltd.
Osip SCHWARTZ
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
Atomic Layer Etch Process Using Plasma In Conjunction With A Rapid...
Publication number
20250062133
Publication date
Feb 20, 2025
Mattson Technology, Inc.
Shawming Ma
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
ELECTROSTATIC CHUCK WITH SEAL SURFACE
Publication number
20250062150
Publication date
Feb 20, 2025
LAM RESEARCH CORPORATION
Patrick Girard Breiling
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
Electron Microscope and Calibration Method
Publication number
20250061606
Publication date
Feb 20, 2025
JEOL Ltd.
Yuji Konyuba
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
SUBSTRATE PROCESSING DEVICE, AND METHOD FOR MANUFACTURING METAL OXI...
Publication number
20250059645
Publication date
Feb 20, 2025
Duck Ho KIM
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
MICROSCOPY SAMPLE PREPARATION METHODS AND ASSOCIATED SYSTEMS
Publication number
20250060289
Publication date
Feb 20, 2025
FEI Company
Petra Binknerová
G06 - COMPUTING CALCULATING COUNTING
Information
Patent Application
UNIFORMITY CONTROL CIRCUIT FOR IMPEDANCE MATCH
Publication number
20250062102
Publication date
Feb 20, 2025
LAM RESEARCH CORPORATION
Alexei M. Marakhtanov
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
HIGH PRESSURE PLASMA INHIBITION
Publication number
20250062118
Publication date
Feb 20, 2025
LAM RESEARCH CORPORATION
Dustin Zachary Austin
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
METHODS OF MANUFACTURING SEMICONDUCTOR DEVICE AND SPUTTERING CHAMBERS
Publication number
20250062165
Publication date
Feb 20, 2025
Taiwan Semiconductor Manufacturing Company, Ltd.
Chen-Hung LIN
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
IN-SITU PARTICLE DETECTION
Publication number
20250060298
Publication date
Feb 20, 2025
Applied Materials, Inc.
Wei Weng
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
DUAL SCAN TYPE ION IMPLANT SYSTEM
Publication number
20250062100
Publication date
Feb 20, 2025
Ninebell Co., Ltd
Moon Soo CHOI
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
Cooled Shield for ICP Source
Publication number
20250062103
Publication date
Feb 20, 2025
Mattson Technology, Inc.
Maolin Long
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
METHOD AND SYSTEM FOR MONITORING RADICAL SPECIES FLUX OF PLASMA
Publication number
20250062107
Publication date
Feb 20, 2025
Applied Materials, Inc.
Martin Hilkene
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
FILM FORMING APPARATUS AND FILM FORMING METHOD
Publication number
20250059640
Publication date
Feb 20, 2025
TOKYO ELECTRON LIMITED
Koji MAEDA
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
PHOTO-ASSISTED ELECTRON BEAM EMITTER
Publication number
20250062095
Publication date
Feb 20, 2025
Board of Trustees of Michigan State University
Peng ZHANG
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
Charged Particle Beam Device, and Beam Deflection Method in Charged...
Publication number
20250062096
Publication date
Feb 20, 2025
Hitachi High-Tech Corporation
Naoto ITO
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
SYSTEM AND METHOD FOR DYNAMIC ABERRATION CORRECTION
Publication number
20250062098
Publication date
Feb 20, 2025
KLA Corporation
Xiaoxue Chen
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
CONTROL METHOD AND PLASMA PROCESSING APPARATUS
Publication number
20250062101
Publication date
Feb 20, 2025
TOKYO ELECTRON LIMITED
Chishio KOSHIMIZU
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
HEATED PEDESTAL WITH LOW IMPEDANCE RF ROD
Publication number
20250062104
Publication date
Feb 20, 2025
Sudhir R. GONDHALEKAR
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
MECHANISM FOR FILTERING ETCHING BYPRODUCT DURING SEMICONDUCTOR FABR...
Publication number
20250062105
Publication date
Feb 20, 2025
Taiwan Semiconductor Manufacturing company Ltd.
MING-YING PEI
B01 - PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
Information
Patent Application
REMOTE PLASMA CLEAN (RPC) DELIVERY INLET ADAPTER
Publication number
20250062106
Publication date
Feb 20, 2025
LAM RESEARCH CORPORATION
Danae Nicole Kay
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS
Publication number
20250062130
Publication date
Feb 20, 2025
TOKYO ELECTRON LIMITED
Yusuke TAKINO
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
ELECTROSTATIC CHUCKS WITH SELF-SEALING GAS CONDUITS AND/OR REDUCED...
Publication number
20250062149
Publication date
Feb 20, 2025
LAM RESEARCH CORPORATION
Kadthala R. NARENDRNATH
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
METHOD TO MORE PRECISELY CALIBRATE THE MECHANICAL TILT AND ROTATION...
Publication number
20250054726
Publication date
Feb 13, 2025
APPLIED MATERIALS ISRAEL LTD.
Yehuda Zur
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
RF SPUTTERING OF MULTIPLE ELECTRODES WITH OPTIMIZED PLAMSA COUPLING...
Publication number
20250054728
Publication date
Feb 13, 2025
SPUTTERING COMPONENTS, INC.
Ken Nauman
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
SUBSTRATE PROCESSING TOOL WITH HIGH-SPEED MATCH NETWORK IMPEDANCE S...
Publication number
20250054729
Publication date
Feb 13, 2025
LAM RESEARCH CORPORATION
Shen PENG
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
APPARATUS FOR PROCESSING A SUBSTRATE
Publication number
20250054733
Publication date
Feb 13, 2025
Samsung Electronics Co., Ltd.
Yirop Kim
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
Electrostatic Chuck with High Cooling Efficiency
Publication number
20250054737
Publication date
Feb 13, 2025
Applied Materials, Inc.
Karthik ELUMALAI
H01 - BASIC ELECTRIC ELEMENTS
Information
Patent Application
CARBON MASK DEPOSITION
Publication number
20250054760
Publication date
Feb 13, 2025
LAM RESEARCH CORPORATION
Daniela ANJOS RIGSBY
C23 - COATING METALLIC MATERIAL COATING MATERIAL WITH METALLIC MATERIAL CHEMI...