Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Industry

  • CPC
  • H01L21/00
This industry / category may be too specific. Please go to a parent level for more data

Sub Industries

H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof H01L21/02002Preparing wafers H01L21/02005Preparing bulk and homogeneous wafers H01L21/02008Multistep processes H01L21/0201Specific process step H01L21/02013Grinding, lapping H01L21/02016Backside treatment H01L21/02019Chemical etching H01L21/02021Edge treatment, chamfering H01L21/02024Mirror polishing H01L21/02027Setting crystal orientation H01L21/0203Making porous regions on the surface H01L21/02032by reclaiming or re-processing H01L21/02035Shaping H01L21/02041Cleaning H01L21/02043Cleaning before device manufacture H01L21/02046Dry cleaning only H01L21/02049with gaseous HF H01L21/02052Wet cleaning only H01L21/02054combining dry and wet cleaning steps H01L21/02057Cleaning during device manufacture H01L21/0206during, before or after processing of insulating layers H01L21/02063the processing being the formation of vias or contact holes H01L21/02065the processing being a planarization of insulating layers H01L21/02068during, before or after processing of conductive layers H01L21/02071the processing being a delineation H01L21/02074the processing being a planarization of conductive layers H01L21/02076Cleaning after the substrates have been singulated H01L21/02079Cleaning for reclaiming H01L21/02082product to be cleaned H01L21/02085Cleaning of diamond H01L21/02087Cleaning of wafer edges H01L21/0209Cleaning of wafer backside H01L21/02093Cleaning of porous materials H01L21/02096only mechanical cleaning H01L21/02098only involving lasers H01L21/02101only involving supercritical fluids H01L21/02104Forming layers H01L21/02107Forming insulating materials on a substrate H01L21/02109characterised by the type of layer H01L21/02112characterised by the material of the layer H01L21/02115the material being carbon H01L21/02118carbon based polymeric organic or inorganic material H01L21/0212the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene H01L21/02123the material containing silicon H01L21/02126the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements H01L21/02129the material being boron or phosphorus doped silicon oxides H01L21/02131the material being halogen doped silicon oxides H01L21/02134the material comprising hydrogen silsesquioxane H01L21/02137the material comprising alkyl silsesquioxane H01L21/0214the material being a silicon oxynitride H01L21/02142the material containing silicon and at least one metal element H01L21/02145the material containing aluminium H01L21/02148the material containing hafnium H01L21/0215the material containing tantalum H01L21/02153the material containing titanium H01L21/02156the material containing at least one rare earth element H01L21/02159the material containing zirconium H01L21/02161the material containing more than one metal element H01L21/02164the material being a silicon oxide H01L21/02167the material being a silicon carbide not containing oxygen H01L21/0217the material being a silicon nitride not containing oxygen H01L21/02172the material containing at least one metal element H01L21/02175characterised by the metal H01L21/02178the material containing aluminium H01L21/02181the material containing hafnium H01L21/02183the material containing tantalum H01L21/02186the material containing titanium H01L21/02189the material containing zirconium H01L21/02192the material containing at least one rare earth metal element H01L21/02194the material containing more than one metal element H01L21/02197the material having a perovskite structure H01L21/022the layer being a laminate, i.e. composed of sublayers H01L21/02203the layer being porous H01L21/02205the layer being characterised by the precursor material for deposition H01L21/02208the precursor containing a compound comprising Si H01L21/02211the compound being a silane H01L21/02214the compound comprising silicon and oxygen H01L21/02216the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen H01L21/02219the compound comprising silicon and nitrogen H01L21/02222the compound being a silazane H01L21/02225characterised by the process for the formation of the insulating layer H01L21/02227formation by a process other than a deposition process H01L21/0223formation by oxidation H01L21/02233of the semiconductor substrate or a semiconductor layer H01L21/02236group IV semiconductor H01L21/02238silicon in uncombined form H01L21/02241III-V semiconductor H01L21/02244of a metallic layer H01L21/02247formation by nitridation H01L21/02249formation by combined oxidation and nitridation performed simultaneously H01L21/02252formation by plasma treatment H01L21/02255formation by thermal treatment H01L21/02258formation by anodic treatment H01L21/0226formation by a deposition process H01L21/02263deposition from the gas or vapour phase H01L21/02266deposition by physical ablation of a target H01L21/02269deposition by thermal evaporation H01L21/02271deposition by decomposition or reaction of gaseous or vapour phase compounds H01L21/02274in the presence of a plasma [PECVD] H01L21/02277the reactions being activated by other means than plasma or thermal H01L21/0228deposition by cyclic CVD H01L21/02282liquid deposition H01L21/02285Langmuir-Blodgett techniques H01L21/02288printing H01L21/0229liquid atomic layer deposition H01L21/02293formation of epitaxial layers by a deposition process H01L21/02296characterised by the treatment performed before or after the formation of the layer H01L21/02299pre-treatment H01L21/02301in-situ cleaning H01L21/02304formation of intermediate layers H01L21/02307treatment by exposure to a liquid H01L21/0231treatment by exposure to electromagnetic radiation H01L21/02312treatment by exposure to a gas or vapour H01L21/02315treatment by exposure to a plasma H01L21/02318post-treatment H01L21/02321introduction of substances into an already existing insulating layer H01L21/02323introduction of oxygen H01L21/02326into a nitride layer H01L21/02329introduction of nitrogen H01L21/02332into an oxide layer H01L21/02334in-situ cleaning after layer formation H01L21/02337treatment by exposure to a gas or vapour H01L21/0234treatment by exposure to a plasma H01L21/02343treatment by exposure to a liquid H01L21/02345treatment by exposure to radiation H01L21/02348treatment by exposure to UV light H01L21/02351treatment by exposure to corpuscular radiation H01L21/02354using a coherent radiation H01L21/02356treatment to change the morphology of the insulating layer H01L21/02359treatment to change the surface groups of the insulating layer H01L21/02362formation of intermediate layers H01L21/02365Forming inorganic semiconducting materials on a substrate H01L21/02367Substrates H01L21/0237Materials H01L21/02373Group 14 semiconducting materials H01L21/02376Carbon H01L21/02378Silicon carbide H01L21/02381Silicon, silicon germanium, germanium H01L21/02384including tin H01L21/02387Group 13/15 materials H01L21/02389Nitrides H01L21/02392Phosphides H01L21/02395Arsenides H01L21/02398Antimonides H01L21/024Group 12/16 materials H01L21/02403Oxides H01L21/02406Sulfides H01L21/02409Selenides H01L21/02411Tellurides H01L21/02414Oxide semiconducting materials not being Group 12/16 materials H01L21/02417Chalcogenide semiconducting materials not being oxides H01L21/0242Crystalline insulating materials H01L21/02422Non-crystalline insulating materials H01L21/02425Conductive materials H01L21/02428Structure H01L21/0243Surface structure H01L21/02433Crystal orientation H01L21/02436Intermediate layers between substrates and deposited layers H01L21/02439Materials H01L21/02441Group 14 semiconducting materials H01L21/02444Carbon H01L21/02447Silicon carbide H01L21/0245Silicon, silicon germanium, germanium H01L21/02452including tin H01L21/02455Group 13/15 materials H01L21/02458Nitrides H01L21/02461Phosphides H01L21/02463Arsenides H01L21/02466Antimonides H01L21/02469Group 12/16 materials H01L21/02472Oxides H01L21/02474Sulfides H01L21/02477Selenides H01L21/0248Tellurides H01L21/02483Oxide semiconducting materials not being Group 12/16 materials H01L21/02485Other chalcogenide semiconducting materials not being oxides H01L21/02488Insulating materials H01L21/02491Conductive materials H01L21/02494Structure H01L21/02496Layer structure H01L21/02499Monolayers H01L21/02502consisting of two layers H01L21/02505consisting of more than two layers H01L21/02507Alternating layers H01L21/0251Graded layers H01L21/02513Microstructure H01L21/02516Crystal orientation H01L21/02518Deposited layers H01L21/02521Materials H01L21/02524Group 14 semiconducting materials H01L21/02527Carbon H01L21/02529Silicon carbide H01L21/02532Silicon, silicon germanium, germanium H01L21/02535including tin H01L21/02538Group 13/15 materials H01L21/0254Nitrides H01L21/02543Phosphides H01L21/02546Arsenides H01L21/02549Antimonides H01L21/02551Group 12/16 materials H01L21/02554Oxides H01L21/02557Sulfides H01L21/0256Selenides H01L21/02562Tellurides H01L21/02565Oxide semiconducting materials not being Group 12/16 materials H01L21/02568Chalcogenide semiconducting materials not being oxides H01L21/0257Doping during depositing H01L21/02573Conductivity type H01L21/02576N-type H01L21/02579P-type H01L21/02581Transition metal or rare earth elements H01L21/02584Delta-doping H01L21/02587Structure H01L21/0259Microstructure H01L21/02592amorphous H01L21/02595polycrystalline H01L21/02598monocrystalline H01L21/02601Nanoparticles H01L21/02603Nanowires H01L21/02606Nanotubes H01L21/02609Crystal orientation H01L21/02612Formation types H01L21/02614Transformation of metal H01L21/02617Deposition types H01L21/0262Reduction or decomposition of gaseous compounds H01L21/02623Liquid deposition H01L21/02625using melted materials H01L21/02628using solutions H01L21/02631Physical deposition at reduced pressure H01L21/02634Homoepitaxy H01L21/02636Selective deposition H01L21/02639Preparation of substrate for selective deposition H01L21/02642Mask materials other than SiO2 or SiN H01L21/02645Seed materials H01L21/02647Lateral overgrowth H01L21/0265Pendeoepitaxy H01L21/02653Vapour-liquid-solid growth H01L21/02656Special treatments H01L21/02658Pretreatments H01L21/02661In-situ cleaning H01L21/02664Aftertreatments H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials H01L21/02669using crystallisation inhibiting elements H01L21/02672using crystallisation enhancing elements H01L21/02675using laser beams H01L21/02678Beam shaping H01L21/0268Shape of mask H01L21/02683Continuous wave laser beam H01L21/02686Pulsed laser beam H01L21/02689using particle beams H01L21/02691Scanning of a beam H01L21/02694Controlling the interface between substrate and epitaxial layer H01L21/02697Forming conducting materials on a substrate H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 H01L21/0271comprising organic layers H01L21/0272for lift-off processes H01L21/0273characterised by the treatment of photoresist layers H01L21/0274Photolithographic processes H01L21/0275using lasers H01L21/0276using an anti-reflective coating H01L21/0277Electrolithographic processes H01L21/0278Röntgenlithographic or X-ray lithographic processes H01L21/0279Ionlithographic processes H01L21/033comprising inorganic layers H01L21/0331for lift-off processes H01L21/0332characterised by their composition H01L21/0334characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane H01L21/0335characterised by their behaviour during the process H01L21/0337characterised by the process involved to create the mask H01L21/0338Process specially adapted to improve the resolution of the mask H01L21/04the devices having at least one potential-jump barrier or surface barrier H01L21/0405the devices having semiconductor bodies comprising semiconducting carbon H01L21/041Making n- or p-doped regions H01L21/0415using ion implantation H01L21/042Changing their shape H01L21/0425Making electrodes H01L21/043Ohmic electrodes H01L21/0435Schottky electrodes H01L21/044Conductor-insulator-semiconductor electrodes H01L21/0445the devices having semiconductor bodies comprising crystalline silicon carbide H01L21/045passivating silicon carbide surfaces H01L21/0455Making n or p doped regions or layers H01L21/046using ion implantation H01L21/0465using masks H01L21/047characterised by the angle between the ion beam and the crystal planes or the main crystal surface H01L21/0475Changing the shape of the semiconductor body H01L21/048Making electrodes H01L21/0485Ohmic electrodes H01L21/049Conductor-insulator-semiconductor electrodes H01L21/0495Schottky electrodes H01L21/06the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials H01L21/08Preparation of the foundation plate H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination H01L21/101Application of the selenium or tellurium to the foundation plate H01L21/103Conversion of the selenium or tellurium to the conductive state H01L21/105Treatment of the surface of the selenium or tellurium layer after having been made conductive H01L21/108Provision of discrete insulating layers H01L21/12Application of an electrode to the exposed surface of the selenium or tellurium after the selenium or tellurium has been applied to the foundation plate H01L21/14Treatment of the complete device H01L21/145Ageing H01L21/16the devices having semiconductor bodies comprising cuprous oxide or cuprous iodide H01L21/161Preparation of the foundation plate, preliminary treatment oxidation of the foundation plate, reduction treatment H01L21/162Preliminary treatment of the foundation plate H01L21/164Oxidation and subsequent heat treatment of the foundation plate H01L21/165Reduction of the copper oxide, treatment of the oxide layer H01L21/167Application of a non-genetic conductive layer H01L21/168Treatment of the complete device H01L21/18the devices having semiconductor bodies comprising elements of the fourth group of the Periodic System or AIIIBV compounds with or without impurities H01L21/182Intermixing or interdiffusion or disordering of III-V heterostructures H01L21/185Joining of semiconductor bodies for junction formation H01L21/187by direct bonding H01L21/20Deposition of semiconductor materials on a substrate H01L21/2003Characterised by the substrate H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer H01L21/2011the substrate being of crystalline insulating material H01L21/2015the substrate being of crystalline semiconductor material H01L21/2018Selective epilaxial growth H01L21/2022Epitaxial regrowth of non-monocrystalline semiconductor materials H01L21/2026using a coherent energy beam H01L21/203using physical deposition H01L21/2033Epitaxial deposition of elements of the Fourth Group of the Periodic System H01L21/2036Epitaxial deposition of AIII BV compounds H01L21/205using reduction or decomposition of a gaseous compound yielding a solid condensate H01L21/2053Expitaxial deposition of elements of the Fourth Group of the Periodic System H01L21/2056Epitaxial deposition of AIIIBV compounds H01L21/208using liquid deposition H01L21/2085Epitaxial deposition of AIIIBV compounds H01L21/22Diffusion of impurity materials H01L21/2205from the substrate during epitaxy H01L21/221of killers H01L21/2215in AIIIBV compounds H01L21/222Lithium-drift H01L21/2225Diffusion sources H01L21/223using diffusion into or out of a solid from or into a gaseous phase H01L21/2233Diffusion into or out of AIIIBV compounds H01L21/2236from or into a plasma phase H01L21/225using diffusion into or out of a solid from or into a solid phase H01L21/2251Diffusion into or out of group IV semiconductors H01L21/2252using predeposition of impurities into the semiconductor surface H01L21/2253by ion implantation H01L21/2254from or through or into an applied layer H01L21/2255the applied layer comprising oxides only H01L21/2256through the applied layer H01L21/2257the applied layer being silicon or silicide or SIPOS H01L21/2258Diffusion into or out of AIIIBV compounds H01L21/228using diffusion into or out of a solid from or into a liquid phase H01L21/24Alloying of impurity materials H01L21/242Alloying of doping materials with AIIIBV compounds H01L21/244Alloying of electrode materials H01L21/246with AIIIBV compounds H01L21/248Apparatus specially adapted for the alloying H01L21/26Bombardment with radiation H01L21/2605using natural radiation H01L21/261to produce a nuclear reaction transmuting chemical elements H01L21/263with high-energy radiation H01L21/2633for etching H01L21/2636for heating H01L21/265producing ion implantation H01L21/26506in group IV semiconductors H01L21/26513of electrically active species H01L21/2652Through-implantation H01L21/26526Recoil-implantation H01L21/26533of electrically inactive species in silicon to make buried insulating layers H01L21/2654in AIIIBV compounds H01L21/26546of electrically active species H01L21/26553Through-implantation H01L21/2656characterised by the implantation of both electrically active and inactive species in the same semiconductor region to be doped H01L21/26566of a cluster H01L21/2658of a molecular ion H01L21/26586characterised by the angle between the ion beam and the crystal planes or the main crystal surface H01L21/26593at a temperature lower than room temperature H01L21/266using masks H01L21/268using electromagnetic radiation H01L21/2683using X-ray lasers H01L21/2686using incoherent radiation H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in H01L21/20 - H01L21/268 H01L21/28008Making conductor-insulator-semiconductor electrodes H01L21/28017the insulator being formed after the semiconductor body, the semiconductor being silicon H01L21/28026characterised by the conductor H01L21/28035the final conductor layer next to the insulator being silicon H01L21/28044the conductor comprising at least another non-silicon conductive layer H01L21/28052the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer H01L21/28061the conductor comprising a metal or metallic silicode formed by deposition, e.g. sputter deposition H01L21/2807the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si H01L21/28079the final conductor layer next to the insulator being a single metal H01L21/28088the final conductor layer next to the insulator being a composite H01L21/28097the final conductor layer next to the insulator being a metallic silicide H01L21/28105the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step H01L21/28114characterised by the sectional shape H01L21/28123Lithography-related aspects H01L21/28132conducting part of electrode is difined by a sidewall spacer or a similar technique H01L21/28141insulating part of the electrode is defined by a sidewall spacer H01L21/2815part or whole of the electrode is a sidewall spacer or made by a similar technique H01L21/28158Making the insulator H01L21/28167on single crystalline silicon, e.g. using a liquid H01L21/28176with a treatment H01L21/28185with a treatment H01L21/28194by deposition H01L21/28202in a nitrogen-containing ambient H01L21/28211in a gaseous ambient using an oxygen or a water vapour H01L21/2822with substrate doping H01L21/28229by deposition of a layer H01L21/28238with sacrificial oxide H01L21/28247passivation or protection of the electrode H01L21/28255the insulator being formed after the semiconductor body, the semiconductor belonging to the fourth group and not being elemental silicon H01L21/28264the insulator being formed after the semiconductor body, the semiconductor being a III-V compound H01L21/28273Making conductor-insulator-conductor-insulator-semiconductor electrodes H01L21/28282comprising a charge trapping insulator H01L21/28291comprising a layer which is used for its ferroelectric properties H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current H01L21/285from a gas or vapour H01L21/28506of conductive layers H01L21/28512on semiconductor bodies comprising elements of the fourth group of the Periodic System H01L21/28518the conductive layers comprising silicides H01L21/28525the conductive layers comprising semiconducting material H01L21/28531Making of side-wall contacts H01L21/28537Deposition of Schottky electrodes H01L21/2855by physical means H01L21/28556by chemical means H01L21/28562Selective deposition H01L21/28568the conductive layers comprising transition metals H01L21/28575on semiconductor bodies comprising AIIIBV compounds H01L21/28581Deposition of Schottky electrodes H01L21/28587characterised by the sectional shape H01L21/28593asymmetrical sectional shape H01L21/288from a liquid H01L21/2885using an external electrical current H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 H01L21/3003Hydrogenation or deuterisation H01L21/3006of AIIIBV compounds H01L21/302to change their surface-physical characteristics or shape H01L21/304Mechanical treatment H01L21/3043Making grooves H01L21/3046using blasting H01L21/306Chemical or electrical treatment H01L21/30604Chemical etching H01L21/30608Anisotropic liquid etching H01L21/30612Etching of AIIIBV compounds H01L21/30617Anisotropic liquid etching H01L21/30621Vapour phase etching H01L21/30625With simultaneous mechanical treatment H01L21/3063Electrolytic etching H01L21/30635of A three - B five compounds H01L21/3065Plasma etching Reactive-ion etching H01L21/30655comprising alternated and repeated etching and passivation steps H01L21/308using masks H01L21/3081characterised by their composition H01L21/3083characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane H01L21/3085characterised by their behaviour during the process H01L21/3086characterised by the process involved to create the mask H01L21/3088Process specially adapted to improve the resolution of the mask H01L21/31to form insulating layers thereon H01L21/3105After-treatment H01L21/31051Planarisation of the insulating layers H01L21/31053involving a dielectric removal step H01L21/31055the removal being a chemical etching step H01L21/31056the removal being a selective chemical etching step H01L21/31058of organic layers H01L21/311Etching the insulating layers by chemical or physical means H01L21/31105Etching inorganic layers H01L21/31111by chemical means H01L21/31116by dry-etching H01L21/31122of layers not containing Si H01L21/31127Etching organic layers H01L21/31133by chemical means H01L21/31138by dry-etching H01L21/31144using masks H01L21/3115Doping the insulating layers H01L21/31155by ion implantation H01L21/312Organic layers H01L21/3121Layers comprising organo-silicon compounds H01L21/3122layers comprising polysiloxane compounds H01L21/3124layers comprising hydrogen silsesquioxane H01L21/3125layers comprising silazane compounds H01L21/3127Layers comprising fluoro (hydro)carbon compounds H01L21/3128by Langmuir-Blodgett techniques H01L21/314Inorganic layers H01L21/3141Deposition using atomic layer deposition techniques [ALD] H01L21/3142of nano-laminates H01L21/3143composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides H01L21/3144on silicon H01L21/3145formed by deposition from a gas or vapour H01L21/3146Carbon layers H01L21/3147Epitaxial deposition of insulating materials H01L21/3148Silicon Carbide layers H01L21/316composed of oxides or glassy oxides or oxide based glass H01L21/31604Deposition from a gas or vapour H01L21/31608Deposition of SiO2 H01L21/31612on a silicon body H01L21/31616Deposition of Al2O3 H01L21/3162on a silicon body H01L21/31625Deposition of boron or phosphorus doped silicon oxide H01L21/31629Deposition of halogen doped silicon oxide H01L21/31633Deposition of carbon doped silicon oxide H01L21/31637Deposition of Tantalum oxides H01L21/31641Deposition of Zirconium oxides H01L21/31645Deposition of Hafnium oxides H01L21/3165formed by oxidation H01L21/31654of semiconductor materials H01L21/31658by thermal oxidation H01L21/31662of silicon in uncombined form H01L21/31666of AIII BV compounds H01L21/3167of anodic oxidation H01L21/31675of silicon H01L21/31679of AIII BV compounds H01L21/31683of metallic layers H01L21/31687by anodic oxidation H01L21/31691with perovskite structure H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass H01L21/318composed of nitrides H01L21/3185of siliconnitrides H01L21/32using masks H01L21/3205Deposition of non-insulating- H01L21/32051Deposition of metallic or metal-silicide layers H01L21/32053of metal-silicide layers H01L21/32055Deposition of semiconductive layers H01L21/32056Deposition of conductive or semi-conductive organic layers H01L21/32058Deposition of supra-conductive layers H01L21/321After treatment H01L21/32105Oxidation of silicon-containing layers H01L21/3211Nitridation of silicon-containing layers H01L21/32115Planarisation H01L21/3212by chemical mechanical polishing [CMP] H01L21/32125by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing H01L21/3213Physical or chemical etching of the layers H01L21/32131by physical means only H01L21/32132of silicon-containing layers H01L21/32133by chemical means only H01L21/32134by liquid etching only H01L21/32135by vapour etching only H01L21/32136using plasmas H01L21/32137of silicon-containing layers H01L21/32138pre- or post-treatments H01L21/32139using masks H01L21/3215Doping the layers H01L21/32155Doping polycristalline - or amorphous silicon layers H01L21/322to modify their internal properties H01L21/3221of silicon bodies H01L21/3223using cavities formed by hydrogen or noble gas ion implantation H01L21/3225Thermally inducing defects using oxygen present in the silicon body for intrinsic gettering H01L21/3226of silicon on insulator H01L21/3228of AIIIBV compounds H01L21/324Thermal treatment for modifying the properties of semiconductor bodies H01L21/3242for the formation of PN junctions without addition of impurities H01L21/3245of III-V compounds H01L21/3247for altering the shape H01L21/326Application of electric currents or fields H01L21/34the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445 , H01L21/06, H01L21/16 and H01L21/18 with or without impurities H01L21/38Diffusion of impurity materials H01L21/383using diffusion into or out of a solid from or into a gaseous phase H01L21/385using diffusion into or out of a solid from or into a solid phase H01L21/388using diffusion into or out of a solid from or into a liquid phase H01L21/40Alloying of impurity materials H01L21/42Bombardment with radiation H01L21/423with high-energy radiation H01L21/425producing ion implantation H01L21/426using masks H01L21/428using electromagnetic radiation H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428 H01L21/441Deposition of conductive or insulating materials for electrodes H01L21/443from a gas or vapour H01L21/445from a liquid H01L21/447involving the application of pressure H01L21/449involving the application of mechanical vibrations H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 H01L21/461to change their surface-physical characteristics or shape H01L21/463Mechanical treatment H01L21/465Chemical or electrical treatment H01L21/467using masks H01L21/469to form insulating layers thereon H01L21/47organic layers H01L21/471Inorganic layers H01L21/473composed of oxides or glassy oxides or oxide based glass H01L21/475using masks H01L21/4757After-treatment H01L21/47573Etching the layer H01L21/47576Doping the layer H01L21/4763Deposition of non-insulating H01L21/47635After-treatment of these layers H01L21/477Thermal treatment for modifying the properties of semiconductor bodies H01L21/479Application of electric currents or fields H01L21/48Manufacture or treatment of parts H01L21/4803Insulating or insulated parts H01L21/4807Ceramic parts H01L21/481Insulating layers on insulating parts, with or without metallisation H01L21/4814Conductive parts H01L21/4817for containers H01L21/4821Flat leads H01L21/4825Connection or disconnection of other leads to or from flat leads H01L21/4828Etching H01L21/4832Etching a temporary substrate after encapsulation process to form leads H01L21/4835Cleaning H01L21/4839Assembly of a flat lead with an insulating support H01L21/4842Mechanical treatment H01L21/4846Leads on or in insulating or insulated substrates H01L21/485Adaptation of interconnections H01L21/4853Connection or disconnection of other leads to or from a metallisation H01L21/4857Multilayer substrates H01L21/486Via connections through the substrate with or without pins H01L21/4864Cleaning H01L21/4867Applying pastes or inks H01L21/4871Bases, plates or heatsinks H01L21/4875Connection or disconnection of other leads to or from bases or plates H01L21/4878Mechanical treatment H01L21/4882Assembly of heatsink parts H01L21/4885Wire-like parts or pins H01L21/4889Connection or disconnection of other leads to or from wire-like parts H01L21/4892Cleaning H01L21/4896Mechanical treatment H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326 H01L21/52Mounting semiconductor bodies in containers H01L21/54Providing fillings in containers H01L21/56Encapsulations H01L21/561Batch processing H01L21/563Encapsulation of active face of flip-chip device H01L21/565Moulds H01L21/566Release layers for moulds H01L21/568Temporary substrate used as encapsulation process aid H01L21/62the devices having no potential-jump barriers or surface barriers H01L21/64Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L31/00 - H01L51/00 H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere H01L21/67005Apparatus not specifically provided for elsewhere H01L21/67011Apparatus for manufacture or treatment H01L21/67017Apparatus for fluid treatment H01L21/67023for general liquid treatment H01L21/67028for cleaning followed by drying, rinsing, stripping, blasting or the like H01L21/67034for drying H01L21/6704for wet cleaning or washing H01L21/67046using mainly scrubbing means H01L21/67051using mainly spraying means H01L21/67057with the semiconductor substrates being dipped in baths or vessels H01L21/67063for etching H01L21/67069for drying etching H01L21/67075for wet etching H01L21/6708using mainly spraying means H01L21/67086with the semiconductor substrates being dipped in baths or vessels H01L21/67092Apparatus for mechanical treatment H01L21/67098Apparatus for thermal treatment H01L21/67103mainly by conduction H01L21/67109mainly by convection H01L21/67115mainly by radiation H01L21/67121Apparatus for making assemblies not otherwise provided for H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like H01L21/67132Apparatus for placing on an insulating substrate H01L21/67138Apparatus for wiring semiconductor or solid state device H01L21/67144Apparatus for mounting on conductive members H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations H01L21/67161characterized by the layout of the process chambers H01L21/67167surrounding a central transfer chamber H01L21/67173in-line arrangement H01L21/67178vertical arrangement H01L21/67184characterized by the presence of more than one transfer chamber H01L21/6719characterized by the construction of the processing chambers H01L21/67196characterized by the construction of the transfer chamber H01L21/67201characterized by the construction of the load-lock chamber H01L21/67207comprising a chamber adapted to a particular process H01L21/67213comprising at least one ion or electron beam chamber H01L21/67219comprising at least one polishing chamber H01L21/67225comprising at least one lithography chamber H01L21/6723comprising at least one plating chamber H01L21/67236the substrates being processed being not semiconductor wafers H01L21/67242Apparatus for monitoring, sorting or marking H01L21/67248Temperature monitoring H01L21/67253Process monitoring H01L21/67259Position monitoring H01L21/67265of substrates stored in a container, a magazine, a carrier, a boat or the like H01L21/67271Sorting devices H01L21/67276Production flow monitoring H01L21/67282Marking devices H01L21/67288Monitoring of warpage, curvature, damage, defects or the like H01L21/67294using identification means H01L21/673using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported H01L21/67306characterized by a material, a roughness, a coating or the like H01L21/67309characterized by the substrate support H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported H01L21/67316characterized by a material, a roughness, a coating or the like H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported H01L21/67323characterized by a material, a roughness, a coating or the like H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported H01L21/6733characterized by a material, a roughness, a coating or the like H01L21/67333Trays for chips H01L21/67336characterized by a material, a roughness, a coating or the like H01L21/6734specially adapted for supporting large square shaped substrates H01L21/67343characterized by a material, a roughness, a coating or the like H01L21/67346characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports H01L21/6735Closed carriers H01L21/67353specially adapted for a single substrate H01L21/67356specially adapted for containing chips, dies or ICs H01L21/67359specially adapted for containing masks, reticles or pellicles H01L21/67363specially adapted for containing substrates other than wafers H01L21/67366characterised by materials, roughness, coatings or the like H01L21/67369characterised by shock absorbing elements H01L21/67373characterised by locking systems H01L21/67376characterised by sealing arrangements H01L21/67379characterised by coupling elements, kinematic members, handles or elements to be externally gripped H01L21/67383characterised by substrate supports H01L21/67386characterised by the construction of the closed carrier H01L21/67389characterised by atmosphere control H01L21/67393characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl H01L21/67396characterised by the presence of antistatic elements H01L21/677for conveying H01L21/67703between different workstations H01L21/67706Mechanical details H01L21/67709using magnetic elements H01L21/67712the substrate being handled substantially vertically H01L21/67715Changing the direction of the conveying path H01L21/67718Changing orientation of the substrate H01L21/67721the substrates to be conveyed not being semiconductor wafers or large planar substrates H01L21/67724by means of a cart or a vehicule H01L21/67727using a general scheme of a conveying path within a factory H01L21/6773Conveying cassettes, containers or carriers H01L21/67733Overhead conveying H01L21/67736Loading to or unloading from a conveyor H01L21/67739into and out of processing chamber H01L21/67742Mechanical parts of transfer devices H01L21/67745characterized by movements or sequence of movements of transfer devices H01L21/67748horizontal transfer of a single workpiece H01L21/67751vertical transfer of a single workpiece H01L21/67754horizontal transfer of a batch of workpieces H01L21/67757vertical transfer of a batch of workpieces H01L21/6776Continuous loading and unloading into and out of a processing chamber H01L21/67763the wafers being stored in a carrier, involving loading and unloading H01L21/67766Mechanical parts of transfer devices H01L21/67769Storage means H01L21/67772involving removal of lid, door, cover H01L21/67775Docking arrangements H01L21/67778involving loading and unloading of waers H01L21/67781Batch transfer of wafers H01L21/67784using air tracks H01L21/67787with angular orientation of the workpieces H01L21/6779the workpieces being stored in a carrier, involving loading and unloading H01L21/67793with orientating and positioning by means of a vibratory bowl or track H01L21/67796with angular orientation of workpieces H01L21/68for positioning, orientation or alignment H01L21/681using optical controlling means H01L21/682Mask-wafer alignment H01L21/683for supporting or gripping H01L21/6831using electrostatic chucks H01L21/6833Details of electrostatic chucks H01L21/6835using temporarily an auxiliary support H01L21/6836Wafer tapes H01L21/6838with gripping and holding devices using a vacuum; Bernoulli devices H01L21/687using mechanical means H01L21/68707the wafers being placed on a robot blade, or gripped by a gripper for conveyance H01L21/68714the wafers being placed on a susceptor, stage or support H01L21/68721characterised by edge clamping H01L21/68728characterised by a plurality of separate clamping members H01L21/68735characterised by edge profile or support profile H01L21/68742characterised by a lifting arrangement H01L21/6875characterised by a plurality of individual support members H01L21/68757characterised by a coating or a hardness or a material H01L21/68764characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis H01L21/68771characterised by supporting more than one semiconductor substrate H01L21/68778characterised by supporting substrates others than wafers H01L21/68785characterised by the mechanical construction of the susceptor, stage or support H01L21/68792characterised by the construction of the shaft H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof Manufacture of integrated circuit devices or of parts thereof H01L21/702of thick-or thin-film circuits or parts thereof H01L21/705of thick-film circuits or parts thereof H01L21/707of thin-film circuits or parts thereof H01L21/71Manufacture of specific parts of devices defined in group H01L21/70 H01L21/74Making of localized buried regions H01L21/743Making of internal connections, substrate contacts H01L21/746for AIII-BV integrated circuits H01L21/76Making of isolation regions between components H01L21/7602between components manufactured in an active substrate comprising SiC compounds H01L21/7605between components manufactured in an active substrate comprising AIII BV compounds H01L21/7607between components manufactured in an active substrate comprising II-VI compounds H01L21/761PN junctions H01L21/762Dielectric regions H01L21/76202using a local oxidation of silicon H01L21/76205in a region being recessed from the surface H01L21/76208using auxiliary pillars in the recessed region H01L21/7621the recessed region having a shape other than rectangular H01L21/76213introducing electrical inactive or active impurities in the local oxidation region H01L21/76216introducing electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers H01L21/76218introducing both types of electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers H01L21/76221with a plurality of successive local oxidation steps H01L21/76224using trench refilling with dielectric materials H01L21/76227the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension H01L21/76232of trenches having a shape other than rectangular or V-shape H01L21/76235trench shape altered by a local oxidation of silicon process step H01L21/76237introducing impurities in trench side or bottom walls H01L21/7624using semiconductor on insulator [SOI] technology H01L21/76243using silicon implanted buried insulating layers, e.g. oxide layers H01L21/76245using full isolation by porous oxide silicon H01L21/76248using lateral overgrowth techniques H01L21/76251using bonding techniques H01L21/76254with separation/delamination along an ion implanted layer H01L21/76256using silicon etch back techniques H01L21/76259with separation/delamination along a porous layer H01L21/76262using selective deposition of single crystal silicon H01L21/76264SOI together with lateral isolation H01L21/76267Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers H01L21/7627Vertical isolation by full isolation by porous oxide silicon H01L21/76272Vertical isolation by lateral overgrowth techniques H01L21/76275Vertical isolation by bonding techniques H01L21/76278Vertical isolation by selective deposition of single crystal silicon H01L21/76281Lateral isolation by selective oxidation of silicon H01L21/76283Lateral isolation by refilling of trenches with dielectric material H01L21/76286Lateral isolation by refilling of trenches with polycristalline material H01L21/76289Lateral isolation by air gap H01L21/76291Lateral isolation by field effect H01L21/76294using selective deposition of single crystal silicon H01L21/76297Dielectric isolation using EPIC techniques H01L21/763Polycristalline semiconductor regions H01L21/764Air gaps H01L21/765by field effect H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics H01L21/76801characterised by the formation and the after-treatment of the dielectrics H01L21/76802by forming openings in dielectrics H01L21/76804by forming tapered via holes H01L21/76805the opening being a via or contact hole penetrating the underlying conductor H01L21/76807for dual damascene structures H01L21/76808involving intermediate temporary filling with material H01L21/7681involving one or more buried masks H01L21/76811involving multiple stacked pre-patterned masks H01L21/76813involving a partial via etch H01L21/76814post-treatment or after-treatment H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches H01L21/76817using printing or stamping techniques H01L21/76819Smoothing of the dielectric H01L21/7682the dielectric comprising air gaps H01L21/76822Modification of the material of dielectric layers H01L21/76823transforming an insulating layer into a conductive layer H01L21/76825by exposing the layer to particle radiation H01L21/76826by contacting the layer with gases, liquids or plasmas H01L21/76828thermal treatment H01L21/76829characterised by the formation of thin functional dielectric layers H01L21/76831in via holes or trenches H01L21/76832Multiple layers H01L21/76834formation of thin insulating films on the sidewalls or on top of conductors H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics H01L21/76838characterised by the formation and the after-treatment of the conductors H01L21/7684Smoothing; Planarisation H01L21/76841Barrier, adhesion or liner layers H01L21/76843formed in openings in a dielectric H01L21/76844Bottomless liners H01L21/76846Layer combinations H01L21/76847the layer being positioned within the main fill metal H01L21/76849the layer being positioned on top of the main fill metal H01L21/7685the layer covering a conductive structure H01L21/76852the layer also covering the sidewalls of the conductive structure H01L21/76853characterized by particular after-treatment steps H01L21/76855After-treatment introducing at least one additional element into the layer H01L21/76856by treatment in plasmas or gaseous environments H01L21/76858by diffusing alloying elements H01L21/76859by ion implantation H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer H01L21/76862Bombardment with particles H01L21/76864Thermal treatment H01L21/76865Selective removal of parts of the layer H01L21/76867characterized by methods of formation other than PVD, CVD or deposition from a liquids H01L21/76868Forming or treating discontinuous thin films H01L21/7687Thin films associated with contacts of capacitors H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers H01L21/76873for electroplating H01L21/76874for electroless plating H01L21/76876for deposition from the gas phase H01L21/76877Filling of holes, grooves or trenches H01L21/76879by selective deposition of conductive material in the vias H01L21/7688by deposition over sacrificial masking layer H01L21/76882Reflowing or applying of pressure to better fill the contact hole H01L21/76883Post-treatment or after-treatment of the conductive material H01L21/76885By forming conductive members before deposition of protective insulating material H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members H01L21/76888By rendering at least a portion of the conductor non conductive H01L21/76889by forming silicides of refractory metals H01L21/76891by using supraconducting materials H01L21/76892modifying the pattern H01L21/76894using a laser H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365 H01L21/76897Formation of self-aligned vias or contact plugs H01L21/76898formed through a semiconductor substrate H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate H01L21/78with subsequent division of the substrate into plural individual devices H01L21/7806involving the separation of the active layers from a substrate H01L21/7813leaving a reusable substrate H01L21/782to produce devices, each consisting of a single circuit element H01L21/784the substrate being a semiconductor body H01L21/786the substrate being other than a semiconductor body H01L21/82to produce devices H01L21/8206the substrate being a semiconductor, using diamond technology H01L21/8213the substrate being a semiconductor, using SiC technology H01L21/822the substrate being a semiconductor, using silicon technology H01L21/8221Three dimensional integrated circuits stacked in different levels H01L21/8222Bipolar technology H01L21/8224comprising a combination of vertical and lateral transistors H01L21/8226comprising merged transistor logic or integrated injection logic H01L21/8228Complementary devices H01L21/82285Complementary vertical transistors H01L21/8229Memory structures H01L21/8232Field-effect technology H01L21/8234MIS technology H01L21/823406Combination of charge coupled devices H01L21/823412with a particular manufacturing method of the channel structures H01L21/823418with a particular manufacturing method of the source or drain structures H01L21/823425manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures H01L21/823431with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body H01L21/823437with a particular manufacturing method of the gate conductors H01L21/823443silicided or salicided gate conductors H01L21/82345gate conductors with different gate conductor materials or different gate conductor implants H01L21/823456gate conductors with different shapes, lengths or dimensions H01L21/823462with a particular manufacturing method of the gate insulating layers H01L21/823468with a particular manufacturing method of the gate sidewall spacers H01L21/823475interconnection or wiring or contact manufacturing related aspects H01L21/823481isolation region manufacturing related aspects H01L21/823487with a particular manufacturing method of vertical transistor structures H01L21/823493with a particular manufacturing method of the wells or tubs H01L21/8236Combination of enhancement and depletion transistors H01L21/8238Complementary field-effect transistors H01L21/823807with a particular manufacturing method of the channel structures H01L21/823814with a particular manufacturing method of the source or drain structures H01L21/823821with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body H01L21/823828with a particular manufacturing method of the gate conductors H01L21/823835silicided or salicided gate conductors H01L21/823842gate conductors with different gate conductor materials or different gate conductor implants H01L21/82385gate conductors with different shapes, lengths or dimensions H01L21/823857with a particular manufacturing method of the gate insulating layers H01L21/823864with a particular manufacturing method of the gate sidewall spacers H01L21/823871interconnection or wiring or contact manufacturing related aspects H01L21/823878isolation region manufacturing related aspects H01L21/823885with a particular manufacturing method of vertical transistor structures H01L21/823892with a particular manufacturing method of the wells or tubs H01L21/8239Memory structures H01L21/8248Combination of bipolar and field-effect technology H01L21/8249Bipolar and MOS technology H01L21/8252the substrate being a semiconductor, using III-V technology H01L21/8254the substrate being a semiconductor, using II-VI technology H01L21/8256the substrate being a semiconductor, using technologies not covered by one of groups H01L21/8206, H01L21/8213 , H01L21/822, H01L21/8252 and H01L21/8254 H01L21/8258the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213 , H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256 H01L21/84the substrate being other than a semiconductor body H01L21/845including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body H01L21/86the insulating body being sapphire, e.g. silicon on sapphire structure

Patents Grantslast 30 patents

Patents Applicationslast 30 patents