Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00

Industry

  • CPC
  • H01L2224/00
This industry / category may be too specific. Please go to a parent level for more data

Sub Industries

H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected H01L2224/02Bonding areas Manufacturing methods related thereto H01L2224/0212Auxiliary members for bonding areas H01L2224/02122being formed on the semiconductor or solid-state body H01L2224/02123inside the bonding area H01L2224/02125Reinforcing structures H01L2224/02126Collar structures H01L2224/0213Alignment aids H01L2224/02135Flow barrier H01L2224/0214Structure of the auxiliary member H01L2224/02141Multilayer auxiliary member H01L2224/02145Shape of the auxiliary member H01L2224/0215Material of the auxiliary member H01L2224/02163on the bonding area H01L2224/02165Reinforcing structures H01L2224/02166Collar structures H01L2224/0217Alignment aids H01L2224/02175Flow barrier H01L2224/0218Structure of the auxiliary member H01L2224/02181Multilayer auxiliary member H01L2224/02185Shape of the auxiliary member H01L2224/0219Material of the auxiliary member H01L2224/022Protective coating H01L2224/02205Structure of the protective coating H01L2224/02206Multilayer protective coating H01L2224/0221Shape of the protective coating H01L2224/02215Material of the protective coating H01L2224/02233not in direct contact with the bonding area H01L2224/02235Reinforcing structures H01L2224/0224Alignment aids H01L2224/02245Flow barrier H01L2224/0225Structure of the auxiliary member H01L2224/02251Multilayer auxiliary member H01L2224/02255Shape of the auxiliary member H01L2224/0226Material of the auxiliary member H01L2224/023Redistribution layers [RDL] for bonding areas H01L2224/0231Manufacturing methods of the redistribution layers H01L2224/02311Additive methods H01L2224/02313Subtractive methods H01L2224/02315Self-assembly processes H01L2224/02317by local deposition H01L2224/02319by using a preform H01L2224/02321Reworking H01L2224/0233Structure of the redistribution layers H01L2224/02331Multilayer structure H01L2224/02333being a bump H01L2224/02335Free-standing redistribution layers H01L2224/0235Shape of the redistribution layers H01L2224/02351comprising interlocking features H01L2224/0236Shape of the insulating layers therebetween H01L2224/0237Disposition of the redistribution layers H01L2224/02371connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body H01L2224/02372connecting to a via connection in the semiconductor or solid-state body H01L2224/02373Layout of the redistribution layers H01L2224/02375Top view H01L2224/02377Fan-in arrangement H01L2224/02379Fan-out arrangement H01L2224/02381Side view H01L2224/0239Material of the redistribution layers H01L2224/024Material of the insulating layers therebetween H01L2224/03Manufacturing methods H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus H01L2224/03002for supporting the semiconductor or solid-state body H01L2224/03003for holding or transferring a preform H01L2224/03005for aligning the bonding area H01L2224/03009for protecting parts during manufacture H01L2224/03011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device H01L2224/03013for holding or confining the bonding area H01L2224/03015for aligning the bonding area H01L2224/03019for protecting parts during the process H01L2224/031Manufacture and pre-treatment of the bonding area preform H01L2224/0311Shaping H01L2224/0312Applying permanent coating H01L2224/033by local deposition of the material of the bonding area H01L2224/0331in liquid form H01L2224/03312Continuous flow H01L2224/03318by dispensing droplets H01L2224/0332Screen printing H01L2224/0333in solid form H01L2224/03332using a powder H01L2224/03334using a preform H01L2224/034by blanket deposition of the material of the bonding area H01L2224/0341in liquid form H01L2224/03416Spin coating H01L2224/03418Spray coating H01L2224/0342Curtain coating H01L2224/03422by dipping H01L2224/03424Immersion coating H01L2224/03426Chemical solution deposition [CSD] H01L2224/03428Wave coating H01L2224/0343in solid form H01L2224/03436Lamination of a preform H01L2224/03438the preform being at least partly pre-patterned H01L2224/0344by transfer printing H01L2224/03442using a powder H01L2224/03444in gaseous form H01L2224/0345Physical vapour deposition [PVD] H01L2224/03452Chemical vapour deposition [CVD] H01L2224/0346Plating H01L2224/03462Electroplating H01L2224/03464Electroless plating H01L2224/03466Conformal deposition H01L2224/0347using a lift-off mask H01L2224/03472Profile of the lift-off mask H01L2224/03474Multilayer masks H01L2224/0348Permanent masks, i.e. masks left in the finished device H01L2224/035by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/03502Pre-existing or pre-deposited material H01L2224/03505Sintering H01L2224/0351Anodisation H01L2224/03515Curing and solidification H01L2224/0352Self-assembly H01L2224/03522Auxiliary means therefor H01L2224/03524with special adaptation of the surface of the body to be connected or of an auxiliary substrate H01L2224/0355Selective modification H01L2224/03552using a laser or a focussed ion beam [FIB] H01L2224/03554Stereolithography H01L2224/036by patterning a pre-deposited material H01L2224/03602Mechanical treatment H01L2224/0361Physical or chemical etching H01L2224/03612by physical means only H01L2224/03614by chemical means only H01L2224/03616Chemical mechanical polishing [CMP] H01L2224/03618with selective exposure, development and removal of a photosensitive material H01L2224/0362Photolithography H01L2224/03622using masks H01L2224/0363using a laser or a focused ion beam [FIB] H01L2224/03632Ablation by means of a laser or focused ion beam [FIB] H01L2224/037involving monitoring H01L2224/038Post-treatment of the bonding area H01L2224/0381Cleaning H01L2224/0382Applying permanent coating H01L2224/03821Spray coating H01L2224/03822by dipping H01L2224/03823Immersion coating H01L2224/03824Chemical solution deposition [CSD] H01L2224/03825Plating H01L2224/03826Physical vapour deposition [PVD] H01L2224/03827Chemical vapour deposition [CVD] H01L2224/03828Applying flux H01L2224/03829Applying a precursor material H01L2224/0383Reworking H01L2224/03831involving a chemical process H01L2224/0384involving a mechanical process H01L2224/03845Chemical mechanical polishing [CMP] H01L2224/03848Thermal treatments H01L2224/03849Reflowing H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps H01L2224/03901with repetition of the same manufacturing step H01L2224/03902Multiple masking steps H01L2224/03903using different masks H01L2224/03906with modification of the same mask H01L2224/0391Forming a passivation layer after forming the bonding area H01L2224/03912the bump being used as a mask for patterning the bonding area H01L2224/03914the bonding area H01L2224/03916a passivation layer being used as a mask for patterning the bonding area H01L2224/0392specifically adapted to include a probing step H01L2224/03921by repairing the bonding area damaged by the probing step H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process H01L2224/0401Bonding areas specifically adapted for bump connectors H01L2224/04026Bonding areas specifically adapted for layer connectors H01L2224/04034Bonding areas specifically adapted for strap connectors H01L2224/04042Bonding areas specifically adapted for wire connectors H01L2224/0405Bonding areas specifically adapted for tape automated bonding [TAB] connectors H01L2224/04073Bonding areas specifically adapted for connectors of different types H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body H01L2224/05of an individual bonding area H01L2224/05001Internal layers H01L2224/05005Structure H01L2224/05006Dual damascene structure H01L2224/05007comprising a core and a coating H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g. H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body H01L2224/0501Shape H01L2224/05011comprising apertures or cavities H01L2224/05012in top view H01L2224/05013being rectangular H01L2224/05014being square H01L2224/05015being circular or elliptic H01L2224/05016in side view H01L2224/05017comprising protrusions or indentations H01L2224/05018being a conformal layer on a patterned surface H01L2224/05019being a non conformal layer on a patterned surface H01L2224/0502Disposition H01L2224/05022the internal layer being at least partially embedded in the surface H01L2224/05023the whole internal layer protruding from the surface H01L2224/05024the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/05025the internal layer being disposed on a via connection of the semiconductor or solid-state body H01L2224/05026the internal layer being disposed in a recess of the surface H01L2224/05027the internal layer extending out of an opening H01L2224/05073Single internal layer H01L2224/05075Plural internal layers H01L2224/05076being mutually engaged together H01L2224/05078being disposed next to each other H01L2224/0508being stacked H01L2224/05082Two-layer arrangements H01L2224/05083Three-layer arrangements H01L2224/05084Four-layer arrangements H01L2224/05085with additional elements H01L2224/05086Structure of the additional element H01L2224/05087being a via with at least a lining layer H01L2224/05088Shape of the additional element H01L2224/05089Disposition of the additional element H01L2224/0509of a single via H01L2224/05091at the center of the internal layers H01L2224/05092at the periphery of the internal layers H01L2224/05093of a plurality of vias H01L2224/05094at the center of the internal layers H01L2224/05095at the periphery of the internal layers H01L2224/05096Uniform arrangement H01L2224/05097Random arrangement H01L2224/05098Material of the additional element H01L2224/05099Material H01L2224/051with a principal constituent of the material being a metal or a metalloid H01L2224/05101the principal constituent melting at a temperature of less than 400°C H01L2224/05105Gallium [Ga] as principal constituent H01L2224/05109Indium [In] as principal constituent H01L2224/05111Tin [Sn] as principal constituent H01L2224/05113Bismuth [Bi] as principal constituent H01L2224/05114Thallium [Tl] as principal constituent H01L2224/05116Lead [Pb] as principal constituent H01L2224/05117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05118Zinc [Zn] as principal constituent H01L2224/0512Antimony [Sb] as principal constituent H01L2224/05123Magnesium [Mg] as principal constituent H01L2224/05124Aluminium [Al] as principal constituent H01L2224/05138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05139Silver [Ag] as principal constituent H01L2224/05144Gold [Au] as principal constituent H01L2224/05147Copper [Cu] as principal constituent H01L2224/05149Manganese [Mn] as principal constituent H01L2224/05155Nickel [Ni] as principal constituent H01L2224/05157Cobalt [Co] as principal constituent H01L2224/0516Iron [Fe] as principal constituent H01L2224/05163the principal constituent melting at a temperature of greater than 1550°C H01L2224/05164Palladium [Pd] as principal constituent H01L2224/05166Titanium [Ti] as principal constituent H01L2224/05169Platinum [Pt] as principal constituent H01L2224/0517Zirconium [Zr] as principal constituent H01L2224/05171Chromium [Cr] as principal constituent H01L2224/05172Vanadium [V] as principal constituent H01L2224/05173Rhodium [Rh] as principal constituent H01L2224/05176Ruthenium [Ru] as principal constituent H01L2224/05178Iridium [Ir] as principal constituent H01L2224/05179Niobium [Nb] as principal constituent H01L2224/0518Molybdenum [Mo] as principal constituent H01L2224/05181Tantalum [Ta] as principal constituent H01L2224/05183Rhenium [Re] as principal constituent H01L2224/05184Tungsten [W] as principal constituent H01L2224/05186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05187Ceramics H01L2224/05188Glasses H01L2224/0519with a principal constituent of the material being a polymer H01L2224/05191The principal constituent being an elastomer H01L2224/05193with a principal constituent of the material being a solid not provided for in groups H01L2224/051 - H01L2224/05191 H01L2224/05194with a principal constituent of the material being a liquid not provided for in groups H01L2224/051 - H01L2224/05191 H01L2224/05195with a principal constituent of the material being a gas not provided for in groups H01L2224/051 - H01L2224/05191 H01L2224/05198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05199Material of the matrix H01L2224/052with a principal constituent of the material being a metal or a metalloid H01L2224/05201the principal constituent melting at a temperature of less than 400°C H01L2224/05205Gallium [Ga] as principal constituent H01L2224/05209Indium [In] as principal constituent H01L2224/05211Tin [Sn] as principal constituent H01L2224/05213Bismuth [Bi] as principal constituent H01L2224/05214Thallium [Tl] as principal constituent H01L2224/05216Lead [Pb] as principal constituent H01L2224/05217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05218Zinc [Zn] as principal constituent H01L2224/0522Antimony [Sb] as principal constituent H01L2224/05223Magnesium [Mg] as principal constituent H01L2224/05224Aluminium [Al] as principal constituent H01L2224/05238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05239Silver [Ag] as principal constituent H01L2224/05244Gold [Au] as principal constituent H01L2224/05247Copper [Cu] as principal constituent H01L2224/05249Manganese [Mn] as principal constituent H01L2224/05255Nickel [Ni] as principal constituent H01L2224/05257Cobalt [Co] as principal constituent H01L2224/0526Iron [Fe] as principal constituent H01L2224/05263the principal constituent melting at a temperature of greater than 1550°C H01L2224/05264Palladium [Pd] as principal constituent H01L2224/05266Titanium [Ti] as principal constituent H01L2224/05269Platinum [Pt] as principal constituent H01L2224/0527Zirconium [Zr] as principal constituent H01L2224/05271Chromium [Cr] as principal constituent H01L2224/05272Vanadium [V] as principal constituent H01L2224/05273Rhodium [Rh] as principal constituent H01L2224/05276Ruthenium [Ru] as principal constituent H01L2224/05278Iridium [Ir] as principal constituent H01L2224/05279Niobium [Nb] as principal constituent H01L2224/0528Molybdenum [Mo] as principal constituent H01L2224/05281Tantalum [Ta] as principal constituent H01L2224/05283Rhenium [Re] as principal constituent H01L2224/05284Tungsten [W] as principal constituent H01L2224/05286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05287Ceramics H01L2224/05288Glasses H01L2224/0529with a principal constituent of the material being a polymer H01L2224/05291The principal constituent being an elastomer H01L2224/05293with a principal constituent of the material being a solid not provided for in groups H01L2224/052 - H01L2224/05291 H01L2224/05294with a principal constituent of the material being a liquid not provided for in groups H01L2224/052 - H01L2224/05291 H01L2224/05295with a principal constituent of the material being a gas not provided for in groups H01L2224/052 - H01L2224/05291 H01L2224/05298Fillers H01L2224/05299Base material H01L2224/053with a principal constituent of the material being a metal or a metalloid H01L2224/05301the principal constituent melting at a temperature of less than 400°C H01L2224/05305Gallium [Ga] as principal constituent H01L2224/05309Indium [In] as principal constituent H01L2224/05311Tin [Sn] as principal constituent H01L2224/05313Bismuth [Bi] as principal constituent H01L2224/05314Thallium [Tl] as principal constituent H01L2224/05316Lead [Pb] as principal constituent H01L2224/05317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05318Zinc [Zn] as principal constituent H01L2224/0532Antimony [Sb] as principal constituent H01L2224/05323Magnesium [Mg] as principal constituent H01L2224/05324Aluminium [Al] as principal constituent H01L2224/05338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05339Silver [Ag] as principal constituent H01L2224/05344Gold [Au] as principal constituent H01L2224/05347Copper [Cu] as principal constituent H01L2224/05349Manganese [Mn] as principal constituent H01L2224/05355Nickel [Ni] as principal constituent H01L2224/05357Cobalt [Co] as principal constituent H01L2224/0536Iron [Fe] as principal constituent H01L2224/05363the principal constituent melting at a temperature of greater than 1550°C H01L2224/05364Palladium [Pd] as principal constituent H01L2224/05366Titanium [Ti] as principal constituent H01L2224/05369Platinum [Pt] as principal constituent H01L2224/0537Zirconium [Zr] as principal constituent H01L2224/05371Chromium [Cr] as principal constituent H01L2224/05372Vanadium [V] as principal constituent H01L2224/05373Rhodium [Rh] as principal constituent H01L2224/05376Ruthenium [Ru] as principal constituent H01L2224/05378Iridium [Ir] as principal constituent H01L2224/05379Niobium [Nb] as principal constituent H01L2224/0538Molybdenum [Mo] as principal constituent H01L2224/05381Tantalum [Ta] as principal constituent H01L2224/05383Rhenium [Re] as principal constituent H01L2224/05384Tungsten [W] as principal constituent H01L2224/05386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05387Ceramics H01L2224/05388Glasses H01L2224/0539with a principal constituent of the material being a polymer H01L2224/05391The principal constituent being an elastomer H01L2224/05393with a principal constituent of the material being a solid not provided for in groups H01L2224/053 - H01L2224/05391 H01L2224/05394with a principal constituent of the material being a liquid not provided for in groups H01L2224/053 - H01L2224/05391 H01L2224/05395with a principal constituent of the material being a gas not provided for in groups H01L2224/053 - H01L2224/05391 H01L2224/05398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05399Coating material H01L2224/054with a principal constituent of the material being a metal or a metalloid H01L2224/05401the principal constituent melting at a temperature of less than 400°C H01L2224/05405Gallium [Ga] as principal constituent H01L2224/05409Indium [In] as principal constituent H01L2224/05411Tin [Sn] as principal constituent H01L2224/05413Bismuth [Bi] as principal constituent H01L2224/05414Thallium [Tl] as principal constituent H01L2224/05416Lead [Pb] as principal constituent H01L2224/05417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05418Zinc [Zn] as principal constituent H01L2224/0542Antimony [Sb] as principal constituent H01L2224/05423Magnesium [Mg] as principal constituent H01L2224/05424Aluminium [Al] as principal constituent H01L2224/05438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05439Silver [Ag] as principal constituent H01L2224/05444Gold [Au] as principal constituent H01L2224/05447Copper [Cu] as principal constituent H01L2224/05449Manganese [Mn] as principal constituent H01L2224/05455Nickel [Ni] as principal constituent H01L2224/05457Cobalt [Co] as principal constituent H01L2224/0546Iron [Fe] as principal constituent H01L2224/05463the principal constituent melting at a temperature of greater than 1550°C H01L2224/05464Palladium [Pd] as principal constituent H01L2224/05466Titanium [Ti] as principal constituent H01L2224/05469Platinum [Pt] as principal constituent H01L2224/0547Zirconium [Zr] as principal constituent H01L2224/05471Chromium [Cr] as principal constituent H01L2224/05472Vanadium [V] as principal constituent H01L2224/05473Rhodium [Rh] as principal constituent H01L2224/05476Ruthenium [Ru] as principal constituent H01L2224/05478Iridium [Ir] as principal constituent H01L2224/05479Niobium [Nb] as principal constituent H01L2224/0548Molybdenum [Mo] as principal constituent H01L2224/05481Tantalum [Ta] as principal constituent H01L2224/05483Rhenium [Re] as principal constituent H01L2224/05484Tungsten [W] as principal constituent H01L2224/05486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05487Ceramics H01L2224/05488Glasses H01L2224/0549with a principal constituent of the material being a polymer H01L2224/05491The principal constituent being an elastomer H01L2224/05493with a principal constituent of the material being a solid not provided for in groups H01L2224/054 - H01L2224/05491 H01L2224/05494with a principal constituent of the material being a liquid not provided for in groups H01L2224/054 - H01L2224/05491 H01L2224/05495with a principal constituent of the material being a gas not provided for in groups H01L2224/054 - H01L2224/05491 H01L2224/05498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05499Shape or distribution of the fillers H01L2224/0554External layer H01L2224/05541Structure H01L2224/05546Dual damascene structure H01L2224/05547comprising a core and a coating H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/0555Shape H01L2224/05551comprising apertures or cavities H01L2224/05552in top view H01L2224/05553being rectangular H01L2224/05554being square H01L2224/05555being circular or elliptic H01L2224/05556in side view H01L2224/05557comprising protrusions or indentations H01L2224/05558conformal layer on a patterned surface H01L2224/05559non conformal layer on a patterned surface H01L2224/0556Disposition H01L2224/05561On the entire surface of the internal layer H01L2224/05562On the entire exposed surface of the internal layer H01L2224/05563Only on parts of the surface of the internal layer H01L2224/05564Only on the bonding interface of the bonding area H01L2224/05565Only outside the bonding interface of the bonding area H01L2224/05566Both on and outside the bonding interface of the bonding area H01L2224/05567the external layer being at least partially embedded in the surface H01L2224/05568the whole external layer protruding from the surface H01L2224/05569the external layer being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/0557the external layer being disposed on a via connection of the semiconductor or solid-state body H01L2224/05571the external layer being disposed in a recess of the surface H01L2224/05572the external layer extending out of an opening H01L2224/05573Single external layer H01L2224/05575Plural external layers H01L2224/05576being mutually engaged together H01L2224/05578being disposed next to each other H01L2224/0558being stacked H01L2224/05582Two-layer coating H01L2224/05583Three-layer coating H01L2224/05584Four-layer coating H01L2224/05599Material H01L2224/056with a principal constituent of the material being a metal or a metalloid H01L2224/05601the principal constituent melting at a temperature of less than 400°C H01L2224/05605Gallium [Ga] as principal constituent H01L2224/05609Indium [In] as principal constituent H01L2224/05611Tin [Sn] as principal constituent H01L2224/05613Bismuth [Bi] as principal constituent H01L2224/05614Thallium [Tl] as principal constituent H01L2224/05616Lead [Pb] as principal constituent H01L2224/05617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05618Zinc [Zn] as principal constituent H01L2224/0562Antimony [Sb] as principal constituent H01L2224/05623Magnesium [Mg] as principal constituent H01L2224/05624Aluminium [Al] as principal constituent H01L2224/05638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05639Silver [Ag] as principal constituent H01L2224/05644Gold [Au] as principal constituent H01L2224/05647Copper [Cu] as principal constituent H01L2224/05649Manganese [Mn] as principal constituent H01L2224/05655Nickel [Ni] as principal constituent H01L2224/05657Cobalt [Co] as principal constituent H01L2224/0566Iron [Fe] as principal constituent H01L2224/05663the principal constituent melting at a temperature of greater than 1550°C H01L2224/05664Palladium [Pd] as principal constituent H01L2224/05666Titanium [Ti] as principal constituent H01L2224/05669Platinum [Pt] as principal constituent H01L2224/0567Zirconium [Zr] as principal constituent H01L2224/05671Chromium [Cr] as principal constituent H01L2224/05672Vanadium [V] as principal constituent H01L2224/05673Rhodium [Rh] as principal constituent H01L2224/05676Ruthenium [Ru] as principal constituent H01L2224/05678Iridium [Ir] as principal constituent H01L2224/05679Niobium [Nb] as principal constituent H01L2224/0568Molybdenum [Mo] as principal constituent H01L2224/05681Tantalum [Ta] as principal constituent H01L2224/05683Rhenium [Re] as principal constituent H01L2224/05684Tungsten [W] as principal constituent H01L2224/05686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05687Ceramics H01L2224/05688Glasses H01L2224/0569with a principal constituent of the material being a polymer H01L2224/05691The principal constituent being an elastomer H01L2224/05693with a principal constituent of the material being a solid not provided for in groups H01L2224/056 - H01L2224/05691 H01L2224/05694with a principal constituent of the material being a liquid not provided for in groups H01L2224/056 - H01L2224/05691 H01L2224/05695with a principal constituent of the material being a gas not provided for in groups H01L2224/056 - H01L2224/05691 H01L2224/05698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05699Material of the matrix H01L2224/057with a principal constituent of the material being a metal or a metalloid H01L2224/05701the principal constituent melting at a temperature of less than 400°C H01L2224/05705Gallium [Ga] as principal constituent H01L2224/05709Indium [In] as principal constituent H01L2224/05711Tin [Sn] as principal constituent H01L2224/05713Bismuth [Bi] as principal constituent H01L2224/05714Thallium [Tl] as principal constituent H01L2224/05716Lead [Pb] as principal constituent H01L2224/05717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05718Zinc [Zn] as principal constituent H01L2224/0572Antimony [Sb] as principal constituent H01L2224/05723Magnesium [Mg] as principal constituent H01L2224/05724Aluminium [Al] as principal constituent H01L2224/05738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05739Silver [Ag] as principal constituent H01L2224/05744Gold [Au] as principal constituent H01L2224/05747Copper [Cu] as principal constituent H01L2224/05749Manganese [Mn] as principal constituent H01L2224/05755Nickel [Ni] as principal constituent H01L2224/05757Cobalt [Co] as principal constituent H01L2224/0576Iron [Fe] as principal constituent H01L2224/05763the principal constituent melting at a temperature of greater than 1550°C H01L2224/05764Palladium [Pd] as principal constituent H01L2224/05766Titanium [Ti] as principal constituent H01L2224/05769Platinum [Pt] as principal constituent H01L2224/0577Zirconium [Zr] as principal constituent H01L2224/05771Chromium [Cr] as principal constituent H01L2224/05772Vanadium [V] as principal constituent H01L2224/05773Rhodium [Rh] as principal constituent H01L2224/05776Ruthenium [Ru] as principal constituent H01L2224/05778Iridium [Ir] as principal constituent H01L2224/05779Niobium [Nb] as principal constituent H01L2224/0578Molybdenum [Mo] as principal constituent H01L2224/05781Tantalum [Ta] as principal constituent H01L2224/05783Rhenium [Re] as principal constituent H01L2224/05784Tungsten [W] as principal constituent H01L2224/05786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05787Ceramics H01L2224/05788Glasses H01L2224/0579with a principal constituent of the material being a polymer H01L2224/05791The principal constituent being an elastomer H01L2224/05793with a principal constituent of the material being a solid not provided for in groups H01L2224/057 - H01L2224/05791 H01L2224/05794with a principal constituent of the material being a liquid not provided for in groups H01L2224/057 - H01L2224/05791 H01L2224/05795with a principal constituent of the material being a gas not provided for in groups H01L2224/057 - H01L2224/05791 H01L2224/05798Fillers H01L2224/05799Base material H01L2224/058with a principal constituent of the material being a metal or a metalloid H01L2224/05801the principal constituent melting at a temperature of less than 400°C H01L2224/05805Gallium [Ga] as principal constituent H01L2224/05809Indium [In] as principal constituent H01L2224/05811Tin [Sn] as principal constituent H01L2224/05813Bismuth [Bi] as principal constituent H01L2224/05814Thallium [Tl] as principal constituent H01L2224/05816Lead [Pb] as principal constituent H01L2224/05817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05818Zinc [Zn] as principal constituent H01L2224/0582Antimony [Sb] as principal constituent H01L2224/05823Magnesium [Mg] as principal constituent H01L2224/05824Aluminium [Al] as principal constituent H01L2224/05838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/05839Silver [Ag] as principal constituent H01L2224/05844Gold [Au] as principal constituent H01L2224/05847Copper [Cu] as principal constituent H01L2224/05849Manganese [Mn] as principal constituent H01L2224/05855Nickel [Ni] as principal constituent H01L2224/05857Cobalt [Co] as principal constituent H01L2224/0586Iron [Fe] as principal constituent H01L2224/05863the principal constituent melting at a temperature of greater than 1550°C H01L2224/05864Palladium [Pd] as principal constituent H01L2224/05866Titanium [Ti] as principal constituent H01L2224/05869Platinum [Pt] as principal constituent H01L2224/0587Zirconium [Zr] as principal constituent H01L2224/05871Chromium [Cr] as principal constituent H01L2224/05872Vanadium [V] as principal constituent H01L2224/05873Rhodium [Rh] as principal constituent H01L2224/05876Ruthenium [Ru] as principal constituent H01L2224/05878Iridium [Ir] as principal constituent H01L2224/05879Niobium [Nb] as principal constituent H01L2224/0588Molybdenum [Mo] as principal constituent H01L2224/05881Tantalum [Ta] as principal constituent H01L2224/05883Rhenium [Re] as principal constituent H01L2224/05884Tungsten [W] as principal constituent H01L2224/05886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05887Ceramics H01L2224/05888Glasses H01L2224/0589with a principal constituent of the material being a polymer H01L2224/05891The principal constituent being an elastomer H01L2224/05893with a principal constituent of the material being a solid not provided for in groups H01L2224/058 - H01L2224/05891 H01L2224/05894with a principal constituent of the material being a liquid not provided for in groups H01L2224/058 - H01L2224/05891 H01L2224/05895with a principal constituent of the material being a gas not provided for in groups H01L2224/058 - H01L2224/05891 H01L2224/05898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05899Coating material H01L2224/059with a principal constituent of the material being a metal or a metalloid H01L2224/05901the principal constituent melting at a temperature of less than 400°C H01L2224/05905Gallium [Ga] as principal constituent H01L2224/05909Indium [In] as principal constituent H01L2224/05911Tin [Sn] as principal constituent H01L2224/05913Bismuth [Bi] as principal constituent H01L2224/05914Thallium [Tl] as principal constituent H01L2224/05916Lead [Pb] as principal constituent H01L2224/05917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/05918Zinc [Zn] as principal constituent H01L2224/0592Antimony [Sb] as principal constituent H01L2224/05923Magnesium [Mg] as principal constituent H01L2224/05924Aluminium [Al] as principal constituent H01L2224/05938the principal constituent melting at a temperature of greater than or equal to 950?C and less than 1550?C H01L2224/05939Silver [Ag] as principal constituent H01L2224/05944Gold [Au] as principal constituent H01L2224/05947Copper [Cu] as principal constituent H01L2224/05949Manganese [Mn] as principal constituent H01L2224/05955Nickel [Ni] as principal constituent H01L2224/05957Cobalt [Co] as principal constituent H01L2224/0596Iron [Fe] as principal constituent H01L2224/05963the principal constituent melting at a temperature of greater than 1550°C H01L2224/05964Palladium [Pd] as principal constituent H01L2224/05966Titanium [Ti] as principal constituent H01L2224/05969Platinum [Pt] as principal constituent H01L2224/0597Zirconium [Zr] as principal constituent H01L2224/05971Chromium [Cr] as principal constituent H01L2224/05972Vanadium [V] as principal constituent H01L2224/05973Rhodium [Rh] as principal constituent H01L2224/05976Ruthenium [Ru] as principal constituent H01L2224/05978Iridium [Ir] as principal constituent H01L2224/05979Niobium [Nb] as principal constituent H01L2224/0598Molybdenum [Mo] as principal constituent H01L2224/05981Tantalum [Ta] as principal constituent H01L2224/05983Rhenium [Re] as principal constituent H01L2224/05984Tungsten [W] as principal constituent H01L2224/05986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/05987Ceramics H01L2224/05988Glasses H01L2224/0599with a principal constituent of the material being a polymer H01L2224/05991The principal constituent being an elastomer H01L2224/05993with a principal constituent of the material being a solid not provided for in groups H01L2224/059 - H01L2224/05991 H01L2224/05994with a principal constituent of the material being a liquid not provided for in groups H01L2224/059 - H01L2224/05991 H01L2224/05995with a principal constituent of the material being a gas not provided for in groups H01L2224/059 - H01L2224/05991 H01L2224/05998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/05999Shape or distribution of the fillers H01L2224/06of a plurality of bonding areas H01L2224/0601Structure H01L2224/0603Bonding areas having different sizes H01L2224/0605Shape H01L2224/06051Bonding areas having different shapes H01L2224/061Disposition H01L2224/06102the bonding areas being at different heights H01L2224/0612Layout H01L2224/0613Square or rectangular array H01L2224/06131being uniform H01L2224/06132being non uniform H01L2224/06133with a staggered arrangement H01L2224/06134covering only portions of the surface to be connected H01L2224/06135Covering only the peripheral area of the surface to be connected H01L2224/06136Covering only the central area of the surface to be connected H01L2224/06137with specially adapted redistribution layers [RDL] H01L2224/06138being disposed in a single wiring level H01L2224/06139being disposed in different wiring levels H01L2224/0614Circular array H01L2224/06141being uniform H01L2224/06142being non uniform H01L2224/06143with a staggered arrangement H01L2224/06144covering only portions of the surface to be connected H01L2224/06145Covering only the peripheral area of the surface to be connected H01L2224/06146Covering only the central area of the surface to be connected H01L2224/06147with specially adapted redistribution layers [RDL] H01L2224/06148being disposed in a single wiring level H01L2224/06149being disposed in different wiring levels H01L2224/0615Mirror array H01L2224/06151being uniform H01L2224/06152being non uniform H01L2224/06153with a staggered arrangement H01L2224/06154covering only portions of the surface to be connected H01L2224/06155Covering only the peripheral area of the surface to be connected H01L2224/06156Covering only the central area of the surface to be connected H01L2224/06157with specially adapted redistribution layers [RDL] H01L2224/06158being disposed in a single wiring level H01L2224/06159being disposed in different wiring levels H01L2224/0616Random array H01L2224/06163with a staggered arrangement H01L2224/06164covering only portions of the surface to be connected H01L2224/06165Covering only the peripheral area of the surface to be connected H01L2224/06166Covering only the central area of the surface to be connected H01L2224/06167with specially adapted redistribution layers [RDL] H01L2224/06168being disposed in a single wiring level H01L2224/06169being disposed in different wiring levels H01L2224/06177Combinations of arrays with different layouts H01L2224/06179Corner adaptations H01L2224/0618being disposed on at least two different sides of the body H01L2224/06181On opposite sides of the body H01L2224/06182with specially adapted redistribution layers [RDL] H01L2224/06183On contiguous sides of the body H01L2224/06187with specially adapted redistribution layers [RDL] H01L2224/06188being disposed in a single wiring level H01L2224/06189being disposed in different wiring levels H01L2224/065Material H01L2224/06505Bonding areas having different materials H01L2224/0651Function H01L2224/06515Bonding areas having different functions H01L2224/06517including bonding areas providing primarily mechanical bonding H01L2224/06519including bonding areas providing primarily thermal dissipation H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process H01L2224/08of an individual bonding area H01L2224/0801Structure H01L2224/0805Shape H01L2224/08052in top view H01L2224/08053being non uniform along the bonding area H01L2224/08054being rectangular H01L2224/08055being square H01L2224/08056being circular or elliptic H01L2224/08057in side view H01L2224/08058being non uniform along the bonding area H01L2224/08059comprising protrusions or indentations H01L2224/0807of bonding interfaces H01L2224/081Disposition H01L2224/08111the bonding area being disposed in a recess of the surface of the body H01L2224/08112the bonding area being at least partially embedded in the surface of the body H01L2224/08113the whole bonding area protruding from the surface of the body H01L2224/0812the bonding area connecting directly to another bonding area, i.e. connectorless bonding H01L2224/08121the connected bonding areas being not aligned with respect to each other H01L2224/08123the bonding area connecting directly to at least two bonding areas H01L2224/08135the bonding area connecting between different semiconductor or solid-state bodies H01L2224/08137the bodies being arranged next to each other H01L2224/08145the bodies being stacked H01L2224/08146the bonding area connecting to a via connection in the body H01L2224/08147the bonding area connecting to a bonding area disposed in a recess of the surface of the body H01L2224/08148the bonding area connecting to a bonding area protruding from the surface of the body H01L2224/08151the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/08153the body and the item being arranged next to each other H01L2224/08155the item being non-metallic H01L2224/0816the bonding area connecting to a pin of the item H01L2224/08163the bonding area connecting to a potential ring of the item H01L2224/08165the bonding area connecting to a via metallisation of the item H01L2224/08167the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08168the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08175the item being metallic H01L2224/08183the bonding area connecting to a potential ring of the item H01L2224/08187the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08188the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08195the item being a discrete passive component H01L2224/08197the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08198the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08221the body and the item being stacked H01L2224/08225the item being non-metallic H01L2224/0823the bonding area connecting to a pin of the item H01L2224/08233the bonding area connecting to a potential ring of the item H01L2224/08235the bonding area connecting to a via metallisation of the item H01L2224/08237the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08238the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08245the item being metallic H01L2224/08253the bonding area connecting to a potential ring of the item H01L2224/08257the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08258the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/08265the item being a discrete passive component H01L2224/08267the bonding area connecting to a bonding area disposed in a recess of the surface of the item H01L2224/08268the bonding area connecting to a bonding area protruding from the surface of the item H01L2224/085Material H01L2224/08501at the bonding interface H01L2224/08502comprising an eutectic alloy H01L2224/08503comprising an intermetallic compound H01L2224/08505outside the bonding interface H01L2224/08506comprising an eutectic alloy H01L2224/09of a plurality of bonding areas H01L2224/0901Structure H01L2224/0903Bonding areas having different sizes H01L2224/0905Shape H01L2224/09051Bonding areas having different shapes H01L2224/09055of their bonding interfaces H01L2224/091Disposition H01L2224/09102the bonding areas being at different heights H01L2224/09103on the semiconductor or solid-state body H01L2224/09104outside the semiconductor or solid-state body H01L2224/0912Layout H01L2224/0913Square or rectangular array H01L2224/09132being non uniform H01L2224/09133with a staggered arrangement H01L2224/09134covering only portions of the surface to be connected H01L2224/09135Covering only the peripheral area of the surface to be connected H01L2224/0914Circular array H01L2224/09142being non uniform H01L2224/09143with a staggered arrangement H01L2224/09144covering only portions of the surface to be connected H01L2224/09145Covering only the peripheral area of the surface to be connected H01L2224/0915Mirror array H01L2224/09151being uniform H01L2224/09152being non uniform H01L2224/09153with a staggered arrangement H01L2224/09154covering only portions of the surface to be connected H01L2224/09155Covering only the peripheral area of the surface to be connected H01L2224/09156Covering only the central area of the surface to be connected H01L2224/0916Random array H01L2224/09163with a staggered arrangement H01L2224/09164covering only portions of the surface to be connected H01L2224/09165Covering only the peripheral area of the surface to be connected H01L2224/09177Combinations of arrays with different layouts H01L2224/09179Corner adaptations H01L2224/0918being disposed on at least two different sides of the body H01L2224/09181On opposite sides of the body H01L2224/09183On contiguous sides of the body H01L2224/095Material H01L2224/09505Bonding areas having different materials H01L2224/0951Function H01L2224/09515Bonding areas having different functions H01L2224/09517including bonding areas providing primarily mechanical support H01L2224/09519including bonding areas providing primarily thermal dissipation H01L2224/10Bump connectors Manufacturing methods related thereto H01L2224/1012Auxiliary members for bump connectors H01L2224/10122being formed on the semiconductor or solid-state body to be connected H01L2224/10125Reinforcing structures H01L2224/10126Bump collar H01L2224/10135Alignment aids H01L2224/10145Flow barriers H01L2224/10152being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/10155Reinforcing structures H01L2224/10156Bump collar H01L2224/10165Alignment aids H01L2224/10175Flow barriers H01L2224/11Manufacturing methods H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus H01L2224/11002for supporting the semiconductor or solid-state body H01L2224/11003for holding or transferring the bump preform H01L2224/11005for aligning the bump connector H01L2224/11009for protecting parts during manufacture H01L2224/11011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device H01L2224/11013for holding or confining the bump connector H01L2224/11015for aligning the bump connector H01L2224/11019for protecting parts during the process H01L2224/111Manufacture and pre-treatment of the bump connector preform H01L2224/1111Shaping H01L2224/1112Applying permanent coating H01L2224/113by local deposition of the material of the bump connector H01L2224/1131in liquid form H01L2224/11312Continuous flow H01L2224/11318by dispensing droplets H01L2224/1132Screen printing H01L2224/1133in solid form H01L2224/11332using a powder H01L2224/11334using preformed bumps H01L2224/1134Stud bumping H01L2224/114by blanket deposition of the material of the bump connector H01L2224/1141in liquid form H01L2224/11416Spin coating H01L2224/11418Spray coating H01L2224/1142Curtain coating H01L2224/11422by dipping H01L2224/11424Immersion coating H01L2224/11426Chemical solution deposition [CSD] H01L2224/11428Wave coating H01L2224/1143in solid form H01L2224/11436Lamination of a preform H01L2224/11438the preform being at least partly pre-patterned H01L2224/1144by transfer printing H01L2224/11442using a powder H01L2224/11444in gaseous form H01L2224/1145Physical vapour deposition [PVD] H01L2224/11452Chemical vapour deposition [CVD] H01L2224/1146Plating H01L2224/11462Electroplating H01L2224/11464Electroless plating H01L2224/11466Conformal deposition H01L2224/1147using a lift-off mask H01L2224/11472Profile of the lift-off mask H01L2224/11474Multilayer masks H01L2224/1148Permanent masks, i.e. masks left in the finished device H01L2224/115by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/11502Pre-existing or pre-deposited material H01L2224/11505Sintering H01L2224/1151Anodisation H01L2224/11515Curing and solidification H01L2224/1152Self-assembly H01L2224/11522Auxiliary means therefor H01L2224/11524with special adaptation of the surface or of an auxiliary substrate H01L2224/11526involving the material of the bonding area H01L2224/1155Selective modification H01L2224/11552using a laser or a focussed ion beam [FIB] H01L2224/11554Stereolithography H01L2224/116by patterning a pre-deposited material H01L2224/11602Mechanical treatment H01L2224/1161Physical or chemical etching H01L2224/11612by physical means only H01L2224/11614by chemical means only H01L2224/11616Chemical mechanical polishing [CMP] H01L2224/11618with selective exposure, development and removal of a photosensitive bump material H01L2224/1162using masks H01L2224/11622Photolithography H01L2224/1163using a laser or a focused ion beam [FIB] H01L2224/11632Ablation by means of a laser or focused ion beam [FIB] H01L2224/117involving monitoring H01L2224/118Post-treatment of the bump connector H01L2224/1181Cleaning H01L2224/1182Applying permanent coating H01L2224/11821Spray coating H01L2224/11822by dipping H01L2224/11823Immersion coating H01L2224/11824Chemical solution deposition [CSD] H01L2224/11825Plating H01L2224/11826Physical vapour deposition [PVD] H01L2224/11827Chemical vapour deposition [CVD] H01L2224/1183Reworking H01L2224/11831involving a chemical process H01L2224/1184involving a mechanical process H01L2224/11845Chemical mechanical polishing [CMP] H01L2224/11848Thermal treatments H01L2224/11849Reflowing H01L2224/119Methods of manufacturing bump connectors involving a specific sequence of method steps H01L2224/11901with repetition of the same manufacturing step H01L2224/11902Multiple masking steps H01L2224/11903using different masks H01L2224/11906with modification of the same mask H01L2224/1191Forming a passivation layer after forming the bump connector H01L2224/11912the bump being used as a mask for patterning other parts H01L2224/11914the under bump metallisation [UBM] being used as a mask for patterning other parts H01L2224/11916a passivation layer being used as a mask for patterning other parts H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body H01L2224/13of an individual bump connector H01L2224/13001Core members of the bump connector H01L2224/13005Structure H01L2224/13006Bump connector larger than the underlying bonding area H01L2224/13007Bump connector smaller than the underlying bonding area H01L2224/13008Bump connector integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body H01L2224/1301Shape H01L2224/13011comprising apertures or cavities H01L2224/13012in top view H01L2224/13013being rectangular or square H01L2224/13014being circular or elliptic H01L2224/13015comprising protrusions or indentations H01L2224/13016in side view H01L2224/13017being non uniform along the bump connector H01L2224/13018comprising protrusions or indentations H01L2224/13019at the bonding interface of the bump connector H01L2224/1302Disposition H01L2224/13021the bump connector being disposed in a recess of the surface H01L2224/13022the bump connector being at least partially embedded in the surface H01L2224/13023the whole bump connector protruding from the surface H01L2224/13024the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/13025the bump connector being disposed on a via connection of the semiconductor or solid-state body H01L2224/13026relative to the bonding area H01L2224/13027the bump connector being offset with respect to the bonding area H01L2224/13028the bump connector being disposed on at least two separate bonding areas H01L2224/13075Plural core members H01L2224/13076being mutually engaged together H01L2224/13078being disposed next to each other H01L2224/1308being stacked H01L2224/13082Two-layer arrangements H01L2224/13083Three-layer arrangements H01L2224/13084Four-layer arrangements H01L2224/13099Material H01L2224/131with a principal constituent of the material being a metal or a metalloid H01L2224/13101the principal constituent melting at a temperature of less than 400°C H01L2224/13105Gallium [Ga] as principal constituent H01L2224/13109Indium [In] as principal constituent H01L2224/13111Tin [Sn] as principal constituent H01L2224/13113Bismuth [Bi] as principal constituent H01L2224/13114Thallium [Tl] as principal constituent H01L2224/13116Lead [Pb] as principal constituent H01L2224/13117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13118Zinc [Zn] as principal constituent H01L2224/1312Antimony [Sb] as principal constituent H01L2224/13123Magnesium [Mg] as principal constituent H01L2224/13124Aluminium [Al] as principal constituent H01L2224/13138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13139Silver [Ag] as principal constituent H01L2224/13144Gold [Au] as principal constituent H01L2224/13147Copper [Cu] as principal constituent H01L2224/13149Manganese [Mn] as principal constituent H01L2224/13155Nickel [Ni] as principal constituent H01L2224/13157Cobalt [Co] as principal constituent H01L2224/1316Iron [Fe] as principal constituent H01L2224/13163the principal constituent melting at a temperature of greater than 1550°C H01L2224/13164Palladium [Pd] as principal constituent H01L2224/13166Titanium [Ti] as principal constituent H01L2224/13169Platinum [Pt] as principal constituent H01L2224/1317Zirconium [Zr] as principal constituent H01L2224/13171Chromium [Cr] as principal constituent H01L2224/13172Vanadium [V] as principal constituent H01L2224/13173Rhodium [Rh] as principal constituent H01L2224/13176Ruthenium [Ru] as principal constituent H01L2224/13178Iridium [Ir] as principal constituent H01L2224/13179Niobium [Nb] as principal constituent H01L2224/1318Molybdenum [Mo] as principal constituent H01L2224/13181Tantalum [Ta] as principal constituent H01L2224/13183Rhenium [Re] as principal constituent H01L2224/13184Tungsten [W] as principal constituent H01L2224/13186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13187Ceramics H01L2224/13188Glasses H01L2224/1319with a principal constituent of the material being a polymer H01L2224/13191The principal constituent being an elastomer H01L2224/13193with a principal constituent of the material being a solid not provided for in groups H01L2224/131 - H01L2224/13191 H01L2224/13194with a principal constituent of the material being a liquid not provided for in groups H01L2224/131 - H01L2224/13191 H01L2224/13195with a principal constituent of the material being a gas not provided for in groups H01L2224/131 - H01L2224/13191 H01L2224/13198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13199Material of the matrix H01L2224/132with a principal constituent of the material being a metal or a metalloid H01L2224/13201the principal constituent melting at a temperature of less than 400°C H01L2224/13205Gallium [Ga] as principal constituent H01L2224/13209Indium [In] as principal constituent H01L2224/13211Tin [Sn] as principal constituent H01L2224/13213Bismuth [Bi] as principal constituent H01L2224/13214Thallium [Tl] as principal constituent H01L2224/13216Lead [Pb] as principal constituent H01L2224/13217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13218Zinc [Zn] as principal constituent H01L2224/1322Antimony [Sb] as principal constituent H01L2224/13223Magnesium [Mg] as principal constituent H01L2224/13224Aluminium [Al] as principal constituent H01L2224/13238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13239Silver [Ag] as principal constituent H01L2224/13244Gold [Au] as principal constituent H01L2224/13247Copper [Cu] as principal constituent H01L2224/13249Manganese [Mn] as principal constituent H01L2224/13255Nickel [Ni] as principal constituent H01L2224/13257Cobalt [Co] as principal constituent H01L2224/1326Iron [Fe] as principal constituent H01L2224/13263the principal constituent melting at a temperature of greater than 1550°C H01L2224/13264Palladium [Pd] as principal constituent H01L2224/13266Titanium [Ti] as principal constituent H01L2224/13269Platinum [Pt] as principal constituent H01L2224/1327Zirconium [Zr] as principal constituent H01L2224/13271Chromium [Cr] as principal constituent H01L2224/13272Vanadium [V] as principal constituent H01L2224/13273Rhodium [Rh] as principal constituent H01L2224/13276Ruthenium [Ru] as principal constituent H01L2224/13278Iridium [Ir] as principal constituent H01L2224/13279Niobium [Nb] as principal constituent H01L2224/1328Molybdenum [Mo] as principal constituent H01L2224/13281Tantalum [Ta] as principal constituent H01L2224/13283Rhenium [Re] as principal constituent H01L2224/13284Tungsten [W] as principal constituent H01L2224/13286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13287Ceramics H01L2224/13288Glasses H01L2224/1329with a principal constituent of the material being a polymer H01L2224/13291The principal constituent being an elastomer H01L2224/13293with a principal constituent of the material being a solid not provided for in groups H01L2224/132 - H01L2224/13291 H01L2224/13294with a principal constituent of the material being a liquid not provided for in groups H01L2224/132 - H01L2224/13291 H01L2224/13295with a principal constituent of the material being a gas not provided for in groups H01L2224/132 - H01L2224/13291 H01L2224/13298Fillers H01L2224/13299Base material H01L2224/133with a principal constituent of the material being a metal or a metalloid H01L2224/13301the principal constituent melting at a temperature of less than 400°C H01L2224/13305Gallium [Ga] as principal constituent H01L2224/13309Indium [In] as principal constituent H01L2224/13311Tin [Sn] as principal constituent H01L2224/13313Bismuth [Bi] as principal constituent H01L2224/13314Thallium [Tl] as principal constituent H01L2224/13316Lead [Pb] as principal constituent H01L2224/13317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13318Zinc [Zn] as principal constituent H01L2224/1332Antimony [Sb] as principal constituent H01L2224/13323Magnesium [Mg] as principal constituent H01L2224/13324Aluminium [Al] as principal constituent H01L2224/13338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13339Silver [Ag] as principal constituent H01L2224/13344Gold [Au] as principal constituent H01L2224/13347Copper [Cu] as principal constituent H01L2224/13349Manganese [Mn] as principal constituent H01L2224/13355Nickel [Ni] as principal constituent H01L2224/13357Cobalt [Co] as principal constituent H01L2224/1336Iron [Fe] as principal constituent H01L2224/13363the principal constituent melting at a temperature of greater than 1550°C H01L2224/13364Palladium [Pd] as principal constituent H01L2224/13366Titanium [Ti] as principal constituent H01L2224/13369Platinum [Pt] as principal constituent H01L2224/1337Zirconium [Zr] as principal constituent H01L2224/13371Chromium [Cr] as principal constituent H01L2224/13372Vanadium [V] as principal constituent H01L2224/13373Rhodium [Rh] as principal constituent H01L2224/13376Ruthenium [Ru] as principal constituent H01L2224/13378Iridium [Ir] as principal constituent H01L2224/13379Niobium [Nb] as principal constituent H01L2224/1338Molybdenum [Mo] as principal constituent H01L2224/13381Tantalum [Ta] as principal constituent H01L2224/13383Rhenium [Re] as principal constituent H01L2224/13384Tungsten [W] as principal constituent H01L2224/13386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13387Ceramics H01L2224/13388Glasses H01L2224/1339with a principal constituent of the material being a polymer H01L2224/13391The principal constituent being an elastomer H01L2224/13393with a principal constituent of the material being a solid not provided for in groups H01L2224/133 - H01L2224/13391 H01L2224/13394with a principal constituent of the material being a liquid not provided for in groups H01L2224/133 - H01L2224/13391 H01L2224/13395with a principal constituent of the material being a gas not provided for in groups H01L2224/133 - H01L2224/13391 H01L2224/13398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13399Coating material H01L2224/134with a principal constituent of the material being a metal or a metalloid H01L2224/13401the principal constituent melting at a temperature of less than 400°C H01L2224/13405Gallium [Ga] as principal constituent H01L2224/13409Indium [In] as principal constituent H01L2224/13411Tin [Sn] as principal constituent H01L2224/13413Bismuth [Bi] as principal constituent H01L2224/13414Thallium [Tl] as principal constituent H01L2224/13416Lead [Pb] as principal constituent H01L2224/13417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13418Zinc [Zn] as principal constituent H01L2224/1342Antimony [Sb] as principal constituent H01L2224/13423Magnesium [Mg] as principal constituent H01L2224/13424Aluminium [Al] as principal constituent H01L2224/13438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13439Silver [Ag] as principal constituent H01L2224/13444Gold [Au] as principal constituent H01L2224/13447Copper [Cu] as principal constituent H01L2224/13449Manganese [Mn] as principal constituent H01L2224/13455Nickel [Ni] as principal constituent H01L2224/13457Cobalt [Co] as principal constituent H01L2224/1346Iron [Fe] as principal constituent H01L2224/13463the principal constituent melting at a temperature of greater than 1550°C H01L2224/13464Palladium [Pd] as principal constituent H01L2224/13466Titanium [Ti] as principal constituent H01L2224/13469Platinum [Pt] as principal constituent H01L2224/1347Zirconium [Zr] as principal constituent H01L2224/13471Chromium [Cr] as principal constituent H01L2224/13472Vanadium [V] as principal constituent H01L2224/13473Rhodium [Rh] as principal constituent H01L2224/13476Ruthenium [Ru] as principal constituent H01L2224/13478Iridium [Ir] as principal constituent H01L2224/13479Niobium [Nb] as principal constituent H01L2224/1348Molybdenum [Mo] as principal constituent H01L2224/13481Tantalum [Ta] as principal constituent H01L2224/13483Rhenium [Re] as principal constituent H01L2224/13484Tungsten [W] as principal constituent H01L2224/13486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13487Ceramics H01L2224/13488Glasses H01L2224/1349with a principal constituent of the material being a polymer H01L2224/13491The principal constituent being an elastomer H01L2224/13493with a principal constituent of the material being a solid not provided for in groups H01L2224/134 - H01L2224/13491 H01L2224/13494with a principal constituent of the material being a liquid not provided for in groups H01L2224/134 - H01L2224/13491 H01L2224/13495with a principal constituent of the material being a gas not provided for in groups H01L2224/134 - H01L2224/13491 H01L2224/13498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13499Shape or distribution of the fillers H01L2224/1354Coating H01L2224/13541Structure H01L2224/1355Shape H01L2224/13551being non uniform H01L2224/13552comprising protrusions or indentations H01L2224/13553at the bonding interface of the bump connector H01L2224/1356Disposition H01L2224/13561On the entire surface of the core H01L2224/13562On the entire exposed surface of the core H01L2224/13563Only on parts of the surface of the core H01L2224/13564Only on the bonding interface of the bump connector H01L2224/13565Only outside the bonding interface of the bump connector H01L2224/13566Both on and outside the bonding interface of the bump connector H01L2224/1357Single coating layer H01L2224/13575Plural coating layers H01L2224/13576being mutually engaged together H01L2224/13578being disposed next to each other H01L2224/1358being stacked H01L2224/13582Two-layer coating H01L2224/13583Three-layer coating H01L2224/13584Four-layer coating H01L2224/13599Material H01L2224/136with a principal constituent of the material being a metal or a metalloid H01L2224/13601the principal constituent melting at a temperature of less than 400°C H01L2224/13605Gallium [Ga] as principal constituent H01L2224/13609Indium [In] as principal constituent H01L2224/13611Tin [Sn] as principal constituent H01L2224/13613Bismuth [Bi] as principal constituent H01L2224/13614Thallium [Tl] as principal constituent H01L2224/13616Lead [Pb] as principal constituent H01L2224/13617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13618Zinc [Zn] as principal constituent H01L2224/1362Antimony [Sb] as principal constituent H01L2224/13623Magnesium [Mg] as principal constituent H01L2224/13624Aluminium [Al] as principal constituent H01L2224/13638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13639Silver [Ag] as principal constituent H01L2224/13644Gold [Au] as principal constituent H01L2224/13647Copper [Cu] as principal constituent H01L2224/13649Manganese [Mn] as principal constituent H01L2224/13655Nickel [Ni] as principal constituent H01L2224/13657Cobalt [Co] as principal constituent H01L2224/1366Iron [Fe] as principal constituent H01L2224/13663the principal constituent melting at a temperature of greater than 1550°C H01L2224/13664Palladium [Pd] as principal constituent H01L2224/13666Titanium [Ti] as principal constituent H01L2224/13669Platinum [Pt] as principal constituent H01L2224/1367Zirconium [Zr] as principal constituent H01L2224/13671Chromium [Cr] as principal constituent H01L2224/13672Vanadium [V] as principal constituent H01L2224/13673Rhodium [Rh] as principal constituent H01L2224/13676Ruthenium [Ru] as principal constituent H01L2224/13678Iridium [Ir] as principal constituent H01L2224/13679Niobium [Nb] as principal constituent H01L2224/1368Molybdenum [Mo] as principal constituent H01L2224/13681Tantalum [Ta] as principal constituent H01L2224/13683Rhenium [Re] as principal constituent H01L2224/13684Tungsten [W] as principal constituent H01L2224/13686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13687Ceramics H01L2224/13688Glasses H01L2224/1369with a principal constituent of the material being a polymer H01L2224/13691The principal constituent being an elastomer H01L2224/13693with a principal constituent of the material being a solid not provided for in groups H01L2224/136 - H01L2224/13691 H01L2224/13694with a principal constituent of the material being a liquid not provided for in groups H01L2224/136 - H01L2224/13691 H01L2224/13695with a principal constituent of the material being a gas not provided for in groups H01L2224/136 - H01L2224/13691 H01L2224/13698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13699Material of the matrix H01L2224/137with a principal constituent of the material being a metal or a metalloid H01L2224/13701the principal constituent melting at a temperature of less than 400°C H01L2224/13705Gallium [Ga] as principal constituent H01L2224/13709Indium [In] as principal constituent H01L2224/13711Tin [Sn] as principal constituent H01L2224/13713Bismuth [Bi] as principal constituent H01L2224/13714Thallium [Tl] as principal constituent H01L2224/13716Lead [Pb] as principal constituent H01L2224/13717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13718Zinc [Zn] as principal constituent H01L2224/1372Antimony [Sb] as principal constituent H01L2224/13723Magnesium [Mg] as principal constituent H01L2224/13724Aluminium [Al] as principal constituent H01L2224/13738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13739Silver [Ag] as principal constituent H01L2224/13744Gold [Au] as principal constituent H01L2224/13747Copper [Cu] as principal constituent H01L2224/13749Manganese [Mn] as principal constituent H01L2224/13755Nickel [Ni] as principal constituent H01L2224/13757Cobalt [Co] as principal constituent H01L2224/1376Iron [Fe] as principal constituent H01L2224/13763the principal constituent melting at a temperature of greater than 1550°C H01L2224/13764Palladium [Pd] as principal constituent H01L2224/13766Titanium [Ti] as principal constituent H01L2224/13769Platinum [Pt] as principal constituent H01L2224/1377Zirconium [Zr] as principal constituent H01L2224/13771Chromium [Cr] as principal constituent H01L2224/13772Vanadium [V] as principal constituent H01L2224/13773Rhodium [Rh] as principal constituent H01L2224/13776Ruthenium [Ru] as principal constituent H01L2224/13778Iridium [Ir] as principal constituent H01L2224/13779Niobium [Nb] as principal constituent H01L2224/1378Molybdenum [Mo] as principal constituent H01L2224/13781Tantalum [Ta] as principal constituent H01L2224/13783Rhenium [Re] as principal constituent H01L2224/13784Tungsten [W] as principal constituent H01L2224/13786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13787Ceramics H01L2224/13788Glasses H01L2224/1379with a principal constituent of the material being a polymer H01L2224/13791The principal constituent being an elastomer H01L2224/13793with a principal constituent of the material being a solid not provided for in groups H01L2224/137 - H01L2224/13791 H01L2224/13794with a principal constituent of the material being a liquid not provided for in groups H01L2224/137 - H01L2224/13791 H01L2224/13795with a principal constituent of the material being a gas not provided for in groups H01L2224/137 - H01L2224/13791 H01L2224/13798Fillers H01L2224/13799Base material H01L2224/138with a principal constituent of the material being a metal or a metalloid H01L2224/13801the principal constituent melting at a temperature of less than 400°C H01L2224/13805Gallium [Ga] as principal constituent H01L2224/13809Indium [In] as principal constituent H01L2224/13811Tin [Sn] as principal constituent H01L2224/13813Bismuth [Bi] as principal constituent H01L2224/13814Thallium [Tl] as principal constituent H01L2224/13816Lead [Pb] as principal constituent H01L2224/13817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13818Zinc [Zn] as principal constituent H01L2224/1382Antimony [Sb] as principal constituent H01L2224/13823Magnesium [Mg] as principal constituent H01L2224/13824Aluminium [Al] as principal constituent H01L2224/13838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13839Silver [Ag] as principal constituent H01L2224/13844Gold [Au] as principal constituent H01L2224/13847Copper [Cu] as principal constituent H01L2224/13849Manganese [Mn] as principal constituent H01L2224/13855Nickel [Ni] as principal constituent H01L2224/13857Cobalt [Co] as principal constituent H01L2224/1386Iron [Fe] as principal constituent H01L2224/13863the principal constituent melting at a temperature of greater than 1550°C H01L2224/13864Palladium [Pd] as principal constituent H01L2224/13866Titanium [Ti] as principal constituent H01L2224/13869Platinum [Pt] as principal constituent H01L2224/1387Zirconium [Zr] as principal constituent H01L2224/13871Chromium [Cr] as principal constituent H01L2224/13872Vanadium [V] as principal constituent H01L2224/13873Rhodium [Rh] as principal constituent H01L2224/13876Ruthenium [Ru] as principal constituent H01L2224/13878Iridium [Ir] as principal constituent H01L2224/13879Niobium [Nb] as principal constituent H01L2224/1388Molybdenum [Mo] as principal constituent H01L2224/13881Tantalum [Ta] as principal constituent H01L2224/13883Rhenium [Re] as principal constituent H01L2224/13884Tungsten [W] as principal constituent H01L2224/13886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13887Ceramics H01L2224/13888Glasses H01L2224/1389with a principal constituent of the material being a polymer H01L2224/13891The principal constituent being an elastomer H01L2224/13893with a principal constituent of the material being a solid not provided for in groups H01L2224/138 - H01L2224/13891 H01L2224/13894with a principal constituent of the material being a liquid not provided for in groups H01L2224/138 - H01L2224/13891 H01L2224/13895with a principal constituent of the material being a gas not provided for in groups H01L2224/138 - H01L2224/13891 H01L2224/13898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13899Coating material H01L2224/139with a principal constituent of the material being a metal or a metalloid H01L2224/13901the principal constituent melting at a temperature of less than 400°C H01L2224/13905Gallium [Ga] as principal constituent H01L2224/13909Indium [In] as principal constituent H01L2224/13911Tin [Sn] as principal constituent H01L2224/13913Bismuth [Bi] as principal constituent H01L2224/13914Thallium [Tl] as principal constituent H01L2224/13916Lead [Pb] as principal constituent H01L2224/13917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/13918Zinc [Zn] as principal constituent H01L2224/1392Antimony [Sb] as principal constituent H01L2224/13923Magnesium [Mg] as principal constituent H01L2224/13924Aluminium [Al] as principal constituent H01L2224/13938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/13939Silver [Ag] as principal constituent H01L2224/13944Gold [Au] as principal constituent H01L2224/13947Copper [Cu] as principal constituent H01L2224/13949Manganese [Mn] as principal constituent H01L2224/13955Nickel [Ni] as principal constituent H01L2224/13957Cobalt [Co] as principal constituent H01L2224/1396Iron [Fe] as principal constituent H01L2224/13963the principal constituent melting at a temperature of greater than 1550°C H01L2224/13964Palladium [Pd] as principal constituent H01L2224/13966Titanium [Ti] as principal constituent H01L2224/13969Platinum [Pt] as principal constituent H01L2224/1397Zirconium [Zr] as principal constituent H01L2224/13971Chromium [Cr] as principal constituent H01L2224/13972Vanadium [V] as principal constituent H01L2224/13973Rhodium [Rh] as principal constituent H01L2224/13976Ruthenium [Ru] as principal constituent H01L2224/13978Iridium [Ir] as principal constituent H01L2224/13979Niobium [Nb] as principal constituent H01L2224/1398Molybdenum [Mo] as principal constituent H01L2224/13981Tantalum [Ta] as principal constituent H01L2224/13983Rhenium [Re] as principal constituent H01L2224/13984Tungsten [W] as principal constituent H01L2224/13986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/13987Ceramics H01L2224/13988Glasses H01L2224/1399with a principal constituent of the material being a polymer H01L2224/13991The principal constituent being an elastomer H01L2224/13993with a principal constituent of the material being a solid not provided for in groups H01L2224/139 - H01L2224/13991 H01L2224/13994with a principal constituent of the material being a liquid not provided for in groups H01L2224/139 - H01L2224/13991 H01L2224/13995with a principal constituent of the material being a gas not provided for in groups H01L2224/139 - H01L2224/13991 H01L2224/13998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/13999Shape or distribution of the fillers H01L2224/14of a plurality of bump connectors H01L2224/1401Structure H01L2224/1403Bump connectors having different sizes H01L2224/1405Shape H01L2224/14051Bump connectors having different shapes H01L2224/141Disposition H01L2224/14104relative to the bonding areas H01L2224/1411the bump connectors being bonded to at least one common bonding area H01L2224/1412Layout H01L2224/1413Square or rectangular array H01L2224/14131being uniform H01L2224/14132being non uniform H01L2224/14133with a staggered arrangement H01L2224/14134covering only portions of the surface to be connected H01L2224/14135Covering only the peripheral area of the surface to be connected H01L2224/14136Covering only the central area of the surface to be connected H01L2224/1414Circular array H01L2224/14141being uniform H01L2224/14142being non uniform H01L2224/14143with a staggered arrangement H01L2224/14144covering only portions of the surface to be connected H01L2224/14145Covering only the peripheral area of the surface to be connected H01L2224/14146Covering only the central area of the surface to be connected H01L2224/1415Mirror array H01L2224/14151being uniform H01L2224/14152being non uniform H01L2224/14153with a staggered arrangement H01L2224/14154covering only portions of the surface to be connected H01L2224/14155Covering only the peripheral area of the surface to be connected H01L2224/14156Covering only the central area of the surface to be connected H01L2224/1416Random layout H01L2224/14163with a staggered arrangement H01L2224/14164covering only portions of the surface to be connected H01L2224/14165Covering only the peripheral area of the surface to be connected H01L2224/14166Covering only the central area of the surface to be connected H01L2224/14177Combinations of arrays with different layouts H01L2224/14179Corner adaptations H01L2224/1418being disposed on at least two different sides of the body H01L2224/14181On opposite sides of the body H01L2224/14183On contiguous sides of the body H01L2224/145Material H01L2224/14505Bump connectors having different materials H01L2224/1451Function H01L2224/14515Bump connectors having different functions H01L2224/14517including bump connectors providing primarily mechanical bonding H01L2224/14519including bump connectors providing primarily thermal dissipation H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process H01L2224/16of an individual bump connector H01L2224/1601Structure H01L2224/16012relative to the bonding area H01L2224/16013the bump connector being larger than the bonding area H01L2224/16014the bump connector being smaller than the bonding area H01L2224/1605Shape H01L2224/16052in top view H01L2224/16054being rectangular or square H01L2224/16055being circular or elliptic H01L2224/16056comprising protrusions or indentations H01L2224/16057in side view H01L2224/16058being non uniform along the bump connector H01L2224/16059comprising protrusions or indentations H01L2224/1607of bonding interfaces H01L2224/161Disposition H01L2224/16104relative to the bonding area H01L2224/16105the bump connector connecting bonding areas being not aligned with respect to each other H01L2224/16106the bump connector connecting one bonding area to at least two respective bonding areas H01L2224/16108the bump connector not being orthogonal to the surface H01L2224/16111the bump connector being disposed in a recess of the surface H01L2224/16112the bump connector being at least partially embedded in the surface H01L2224/16113the whole bump connector protruding from the surface H01L2224/1613the bump connector connecting within a semiconductor or solid-state body H01L2224/16135the bump connector connecting between different semiconductor or solid-state bodies H01L2224/16137the bodies being arranged next to each other H01L2224/16141the bodies being arranged on opposite sides of a substrate H01L2224/16145the bodies being stacked H01L2224/16146the bump connector connecting to a via connection in the semiconductor or solid-state body H01L2224/16147the bump connector connecting to a bonding area disposed in a recess of the surface H01L2224/16148the bump connector connecting to a bonding area protruding from the surface H01L2224/16151the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/16153the body and the item being arranged next to each other H01L2224/16155the item being non-metallic H01L2224/16157the bump connector connecting to a bond pad of the item H01L2224/1616the bump connector connecting to a pin of the item H01L2224/16163the bump connector connecting to a potential ring of the item H01L2224/16165the bump connector connecting to a via metallisation of the item H01L2224/16167the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16168the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16175the item being metallic H01L2224/16183the bump connector connecting to a potential ring of the item H01L2224/16187the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16188the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16195the item being a discrete passive component H01L2224/16197the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16198the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/16221the body and the item being stacked H01L2224/16225the item being non-metallic H01L2224/16227the bump connector connecting to a bond pad of the item H01L2224/1623the bump connector connecting to a pin of the item H01L2224/16233the bump connector connecting to a potential ring of the item H01L2224/16235the bump connector connecting to a via metallisation of the item H01L2224/16237the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16238the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/1624the bump connector connecting between the body and an opposite side of the item with respect to the body H01L2224/16245the item being metallic H01L2224/16253the bump connector connecting to a potential ring of the item H01L2224/16257the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16258the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/1626the bump connector connecting between the body and an opposite side of the item with respect to the body H01L2224/16265the item being a discrete passive component H01L2224/16267the bump connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/16268the bump connector connecting to a bonding area protruding from the surface of the item H01L2224/165Material H01L2224/16501at the bonding interface H01L2224/16502comprising an eutectic alloy H01L2224/16503comprising an intermetallic compound H01L2224/16505outside the bonding interface H01L2224/16506comprising an eutectic alloy H01L2224/16507comprising an intermetallic compound H01L2224/17of a plurality of bump connectors H01L2224/1701Structure H01L2224/1703Bump connectors having different sizes H01L2224/1705Shape H01L2224/17051Bump connectors having different shapes H01L2224/17055of their bonding interfaces H01L2224/171Disposition H01L2224/17104relative to the bonding areas H01L2224/17106the bump connectors being bonded to at least one common bonding area H01L2224/17107the bump connectors connecting two common bonding areas H01L2224/1712Layout H01L2224/1713Square or rectangular array H01L2224/17132being non uniform H01L2224/17133with a staggered arrangement H01L2224/17134covering only portions of the surface to be connected H01L2224/17135Covering only the peripheral area of the surface to be connected H01L2224/17136Covering only the central area of the surface to be connected H01L2224/1714Circular array H01L2224/17142being non uniform H01L2224/17143with a staggered arrangement H01L2224/17144covering only portions of the surface to be connected H01L2224/17145Covering only the peripheral area of the surface to be connected H01L2224/17146Covering only the central area of the surface to be connected H01L2224/1715Mirror array H01L2224/17151being uniform H01L2224/17152being non uniform H01L2224/17153with a staggered arrangement H01L2224/17154covering only portions of the surface to be connected H01L2224/17155Covering only the peripheral area of the surface to be connected H01L2224/17156Covering only the central area of the surface to be connected H01L2224/1716Random layout H01L2224/17163with a staggered arrangement H01L2224/17164covering only portions of the surface to be connected H01L2224/17165Covering only the peripheral area of the surface to be connected H01L2224/17166Covering only the central area of the surface to be connected H01L2224/17177Combinations of arrays with different layouts H01L2224/17179Corner adaptations H01L2224/1718being disposed on at least two different sides of the body H01L2224/17181On opposite sides of the body H01L2224/17183On contiguous sides of the body H01L2224/175Material H01L2224/17505Bump connectors having different materials H01L2224/1751Function H01L2224/17515Bump connectors having different functions H01L2224/17517including bump connectors providing primarily mechanical support H01L2224/17519including bump connectors providing primarily thermal dissipation H01L2224/18High density interconnect [HDI] connectors Manufacturing methods related thereto H01L2224/19Manufacturing methods of high density interconnect preforms H01L2224/20Structure, shape, material or disposition of high density interconnect preforms H01L2224/21of an individual HDI interconnect H01L2224/2101Structure H01L2224/2105Shape H01L2224/211Disposition H01L2224/214Connecting portions H01L2224/215Material H01L2224/22of a plurality of HDI interconnects H01L2224/2201Structure H01L2224/2205Shape H01L2224/221Disposition H01L2224/224Connecting portions H01L2224/225Material H01L2224/22505HDI interconnects having different materials H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process H01L2224/24of an individual high density interconnect connector H01L2224/2401Structure H01L2224/24011Deposited H01L2224/2402Laminated H01L2224/2405Shape H01L2224/24051Conformal with the semiconductor or solid-state device H01L2224/241Disposition H01L2224/24101Connecting bonding areas at the same height H01L2224/24105Connecting bonding areas at different heights H01L2224/2413Connecting within a semiconductor or solid-state body H01L2224/24135Connecting between different semiconductor or solid-state bodies H01L2224/24137the bodies being arranged next to each other H01L2224/24141the bodies being arranged on opposite sides of a substrate H01L2224/24145the bodies being stacked H01L2224/24146the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted H01L2224/24147the HDI interconnect not connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/24153the body and the item being arranged next to each other H01L2224/24155the item being non-metallic H01L2224/24175the item being metallic H01L2224/24195the item being a discrete passive component H01L2224/24221the body and the item being stacked H01L2224/24225the item being non-metallic H01L2224/24226the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted H01L2224/24227the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted H01L2224/24245the item being metallic H01L2224/24246the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted H01L2224/24247the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted H01L2224/24265the item being a discrete passive component H01L2224/244Connecting portions H01L2224/245Material H01L2224/2499Auxiliary members for HDI interconnects H01L2224/24991being formed on the semiconductor or solid-state body to be connected H01L2224/24992Flow barrier H01L2224/24996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/24997Flow barrier H01L2224/24998Reinforcing structures H01L2224/25of a plurality of high density interconnect connectors H01L2224/2501Structure H01L2224/2505Shape H01L2224/251Disposition H01L2224/25105Connecting at different heights H01L2224/2511the connectors being bonded to at least one common bonding area H01L2224/25111the connectors connecting two common bonding areas H01L2224/25112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body H01L2224/25113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body H01L2224/2512Layout H01L2224/25171Fan-out arrangements H01L2224/25174Stacked arrangements H01L2224/25175Parallel arrangements H01L2224/25177Combinations of a plurality of arrangements H01L2224/2518being disposed on at least two different sides of the body H01L2224/254Connecting portions H01L2224/2541the connecting portions being stacked H01L2224/2543the connecting portions being staggered H01L2224/255Material H01L2224/26Layer connectors H01L2224/2612Auxiliary members for layer connectors H01L2224/26122being formed on the semiconductor or solid-state body to be connected H01L2224/26125Reinforcing structures H01L2224/26135Alignment aids H01L2224/26145Flow barriers H01L2224/26152being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/26155Reinforcing structures H01L2224/26165Alignment aids H01L2224/26175Flow barriers H01L2224/27Manufacturing methods H01L2224/27001Involving a temporary auxiliary member not forming part of the manufacturing apparatus H01L2224/27002for supporting the semiconductor or solid-state body H01L2224/27003for holding or transferring the layer preform H01L2224/27005for aligning the layer connector H01L2224/27009for protecting parts during manufacture H01L2224/27011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device H01L2224/27013for holding or confining the layer connector H01L2224/27015for aligning the layer connector H01L2224/27019for protecting parts during the process H01L2224/271Manufacture and pre-treatment of the layer connector preform H01L2224/2711Shaping H01L2224/2712Applying permanent coating H01L2224/273by local deposition of the material of the layer connector H01L2224/2731in liquid form H01L2224/27312Continuous flow H01L2224/27318by dispensing droplets H01L2224/2732Screen printing H01L2224/2733in solid form H01L2224/27332using a powder H01L2224/27334using preformed layer H01L2224/274by blanket deposition of the material of the layer connector H01L2224/2741in liquid form H01L2224/27416Spin coating H01L2224/27418Spray coating H01L2224/2742Curtain coating H01L2224/27422by dipping H01L2224/27424Immersion coating H01L2224/27426Chemical solution deposition [CSD] H01L2224/27428Wave coating H01L2224/2743in solid form H01L2224/27436Lamination of a preform H01L2224/27438the preform being at least partly pre-patterned H01L2224/2744by transfer printing H01L2224/27442using a powder H01L2224/27444in gaseous form H01L2224/2745Physical vapour deposition [PVD] H01L2224/27452Chemical vapour deposition [CVD] H01L2224/2746Plating H01L2224/27462Electroplating H01L2224/27464Electroless plating H01L2224/27466Conformal deposition H01L2224/2747using a lift-off mask H01L2224/27472Profile of the lift-off mask H01L2224/27474Multilayer masks H01L2224/2748Permanent masks, i.e. masks left in the finished device H01L2224/275by chemical or physical modification of a pre-existing or pre-deposited material H01L2224/27502Pre-existing or pre-deposited material H01L2224/27505Sintering H01L2224/2751Anodisation H01L2224/27515Curing and solidification H01L2224/2752Self-assembly H01L2224/27522Auxiliary means therefor H01L2224/27524with special adaptation of the surface or of an auxiliary substrate H01L2224/27526involving the material of the bonding area H01L2224/2755Selective modification H01L2224/27552using a laser or a focussed ion beam [FIB] H01L2224/27554Stereolithography H01L2224/276by patterning a pre-deposited material H01L2224/27602Mechanical treatment H01L2224/2761Physical or chemical etching H01L2224/27612by physical means only H01L2224/27614by chemical means only H01L2224/27616Chemical mechanical polishing [CMP] H01L2224/27618with selective exposure, development and removal of a photosensitive layer material H01L2224/2762using masks H01L2224/27622Photolithography H01L2224/2763using a laser or a focused ion beam [FIB] H01L2224/27632Ablation by means of a laser or focused ion beam [FIB] H01L2224/277involving monitoring H01L2224/278Post-treatment of the layer connector H01L2224/2781Cleaning H01L2224/2782Applying permanent coating H01L2224/27821Spray coating H01L2224/27822by dipping H01L2224/27823Immersion coating H01L2224/27824Chemical solution deposition [CSD] H01L2224/27825Plating H01L2224/27826Physical vapour deposition [PVD] H01L2224/27827Chemical vapour deposition [CVD] H01L2224/2783Reworking H01L2224/27831involving a chemical process H01L2224/2784involving a mechanical process H01L2224/27845Chemical mechanical polishing [CMP] H01L2224/27848Thermal treatments H01L2224/27849Reflowing H01L2224/279Methods of manufacturing layer connectors involving a specific sequence of method steps H01L2224/27901with repetition of the same manufacturing step H01L2224/27902Multiple masking steps H01L2224/27903using different masks H01L2224/27906with modification of the same mask H01L2224/2791Forming a passivation layer after forming the layer connector H01L2224/27912the layer being used as a mask for patterning other parts H01L2224/27916a passivation layer being used as a mask for patterning other parts H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process H01L2224/28105Layer connectors formed on an encapsulation of the semiconductor or solid-state body H01L2224/29of an individual layer connector H01L2224/29001Core members of the layer connector H01L2224/29005Structure H01L2224/29006Layer connector larger than the underlying bonding area H01L2224/29007Layer connector smaller than the underlying bonding area H01L2224/29008Layer connector integrally formed with a redistribution layer on the semiconductor or solid-state body H01L2224/29009Layer connector integrally formed with a via connection of the semiconductor or solid-state body H01L2224/2901Shape H01L2224/29011comprising apertures or cavities H01L2224/29012in top view H01L2224/29013being rectangular or square H01L2224/29014being circular or elliptic H01L2224/29015comprising protrusions or indentations H01L2224/29016in side view H01L2224/29017being non uniform along the layer connector H01L2224/29018comprising protrusions or indentations H01L2224/29019at the bonding interface of the layer connector H01L2224/2902Disposition H01L2224/29021the layer connector being disposed in a recess of the surface H01L2224/29022the layer connector being at least partially embedded in the surface H01L2224/29023the whole layer connector protruding from the surface H01L2224/29024the layer connector being disposed on a redistribution layer on the semiconductor or solid-state body H01L2224/29025the layer connector being disposed on a via connection of the semiconductor or solid-state body H01L2224/29026relative to the bonding area H01L2224/29027the layer connector being offset with respect to the bonding area H01L2224/29028the layer connector being disposed on at least two separate bonding areas H01L2224/29034the layer connector covering only portions of the surface to be connected H01L2224/29035covering only the peripheral area of the surface to be connected H01L2224/29036covering only the central area of the surface to be connected H01L2224/29075Plural core members H01L2224/29076being mutually engaged together H01L2224/29078being disposed next to each other H01L2224/2908being stacked H01L2224/29082Two-layer arrangements H01L2224/29083Three-layer arrangements H01L2224/29084Four-layer arrangements H01L2224/29099Material H01L2224/291with a principal constituent of the material being a metal or a metalloid H01L2224/29101the principal constituent melting at a temperature of less than 400°C H01L2224/29105Gallium [Ga] as principal constituent H01L2224/29109Indium [In] as principal constituent H01L2224/29111Tin [Sn] as principal constituent H01L2224/29113Bismuth [Bi] as principal constituent H01L2224/29114Thallium [Tl] as principal constituent H01L2224/29116Lead [Pb] as principal constituent H01L2224/29117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29118Zinc [Zn] as principal constituent H01L2224/2912Antimony [Sb] as principal constituent H01L2224/29123Magnesium [Mg] as principal constituent H01L2224/29124Aluminium [Al] as principal constituent H01L2224/29138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29139Silver [Ag] as principal constituent H01L2224/29144Gold [Au] as principal constituent H01L2224/29147Copper [Cu] as principal constituent H01L2224/29149Manganese [Mn] as principal constituent H01L2224/29155Nickel [Ni] as principal constituent H01L2224/29157Cobalt [Co] as principal constituent H01L2224/2916Iron [Fe] as principal constituent H01L2224/29163the principal constituent melting at a temperature of greater than 1550°C H01L2224/29164Palladium [Pd] as principal constituent H01L2224/29166Titanium [Ti] as principal constituent H01L2224/29169Platinum [Pt] as principal constituent H01L2224/2917Zirconium [Zr] as principal constituent H01L2224/29171Chromium [Cr] as principal constituent H01L2224/29172Vanadium [V] as principal constituent H01L2224/29173Rhodium [Rh] as principal constituent H01L2224/29176Ruthenium [Ru] as principal constituent H01L2224/29178Iridium [Ir] as principal constituent H01L2224/29179Niobium [Nb] as principal constituent H01L2224/2918Molybdenum [Mo] as principal constituent H01L2224/29181Tantalum [Ta] as principal constituent H01L2224/29183Rhenium [Re] as principal constituent H01L2224/29184Tungsten [W] as principal constituent H01L2224/29186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29187Ceramics H01L2224/29188Glasses H01L2224/2919with a principal constituent of the material being a polymer H01L2224/29191The principal constituent being an elastomer H01L2224/29193with a principal constituent of the material being a solid not provided for in groups H01L2224/291 - H01L2224/29191 H01L2224/29194with a principal constituent of the material being a liquid not provided for in groups H01L2224/291 - H01L2224/29191 H01L2224/29195with a principal constituent of the material being a gas not provided for in groups H01L2224/291 - H01L2224/29191 H01L2224/29198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29199Material of the matrix H01L2224/292with a principal constituent of the material being a metal or a metalloid H01L2224/29201the principal constituent melting at a temperature of less than 400°C H01L2224/29205Gallium [Ga] as principal constituent H01L2224/29209Indium [In] as principal constituent H01L2224/29211Tin [Sn] as principal constituent H01L2224/29213Bismuth [Bi] as principal constituent H01L2224/29214Thallium [Tl] as principal constituent H01L2224/29216Lead [Pb] as principal constituent H01L2224/29217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29218Zinc [Zn] as principal constituent H01L2224/2922Antimony [Sb] as principal constituent H01L2224/29223Magnesium [Mg] as principal constituent H01L2224/29224Aluminium [Al] as principal constituent H01L2224/29238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29239Silver [Ag] as principal constituent H01L2224/29244Gold [Au] as principal constituent H01L2224/29247Copper [Cu] as principal constituent H01L2224/29249Manganese [Mn] as principal constituent H01L2224/29255Nickel [Ni] as principal constituent H01L2224/29257Cobalt [Co] as principal constituent H01L2224/2926Iron [Fe] as principal constituent H01L2224/29263the principal constituent melting at a temperature of greater than 1550°C H01L2224/29264Palladium [Pd] as principal constituent H01L2224/29266Titanium [Ti] as principal constituent H01L2224/29269Platinum [Pt] as principal constituent H01L2224/2927Zirconium [Zr] as principal constituent H01L2224/29271Chromium [Cr] as principal constituent H01L2224/29272Vanadium [V] as principal constituent H01L2224/29273Rhodium [Rh] as principal constituent H01L2224/29276Ruthenium [Ru] as principal constituent H01L2224/29278Iridium [Ir] as principal constituent H01L2224/29279Niobium [Nb] as principal constituent H01L2224/2928Molybdenum [Mo] as principal constituent H01L2224/29281Tantalum [Ta] as principal constituent H01L2224/29283Rhenium [Re] as principal constituent H01L2224/29284Tungsten [W] as principal constituent H01L2224/29286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29287Ceramics H01L2224/29288Glasses H01L2224/2929with a principal constituent of the material being a polymer H01L2224/29291The principal constituent being an elastomer H01L2224/29293with a principal constituent of the material being a solid not provided for in groups H01L2224/292 - H01L2224/29291 H01L2224/29294with a principal constituent of the material being a liquid not provided for in groups H01L2224/292 - H01L2224/29291 H01L2224/29295with a principal constituent of the material being a gas not provided for in groups H01L2224/292 - H01L2224/29291 H01L2224/29298Fillers H01L2224/29299Base material H01L2224/293with a principal constituent of the material being a metal or a metalloid H01L2224/29301the principal constituent melting at a temperature of less than 400°C H01L2224/29305Gallium [Ga] as principal constituent H01L2224/29309Indium [In] as principal constituent H01L2224/29311Tin [Sn] as principal constituent H01L2224/29313Bismuth [Bi] as principal constituent H01L2224/29314Thallium [Tl] as principal constituent H01L2224/29316Lead [Pb] as principal constituent H01L2224/29317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29318Zinc [Zn] as principal constituent H01L2224/2932Antimony [Sb] as principal constituent H01L2224/29323Magnesium [Mg] as principal constituent H01L2224/29324Aluminium [Al] as principal constituent H01L2224/29338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29339Silver [Ag] as principal constituent H01L2224/29344Gold [Au] as principal constituent H01L2224/29347Copper [Cu] as principal constituent H01L2224/29349Manganese [Mn] as principal constituent H01L2224/29355Nickel [Ni] as principal constituent H01L2224/29357Cobalt [Co] as principal constituent H01L2224/2936Iron [Fe] as principal constituent H01L2224/29363the principal constituent melting at a temperature of greater than 1550°C H01L2224/29364Palladium [Pd] as principal constituent H01L2224/29366Titanium [Ti] as principal constituent H01L2224/29369Platinum [Pt] as principal constituent H01L2224/2937Zirconium [Zr] as principal constituent H01L2224/29371Chromium [Cr] as principal constituent H01L2224/29372Vanadium [V] as principal constituent H01L2224/29373Rhodium [Rh] as principal constituent H01L2224/29376Ruthenium [Ru] as principal constituent H01L2224/29378Iridium [Ir] as principal constituent H01L2224/29379Niobium [Nb] as principal constituent H01L2224/2938Molybdenum [Mo] as principal constituent H01L2224/29381Tantalum [Ta] as principal constituent H01L2224/29383Rhenium [Re] as principal constituent H01L2224/29384Tungsten [W] as principal constituent H01L2224/29386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29387Ceramics H01L2224/29388Glasses H01L2224/2939with a principal constituent of the material being a polymer H01L2224/29391The principal constituent being an elastomer H01L2224/29393with a principal constituent of the material being a solid not provided for in groups H01L2224/293 - H01L2224/29391 H01L2224/29394with a principal constituent of the material being a liquid not provided for in groups H01L2224/293 - H01L2224/29391 H01L2224/29395with a principal constituent of the material being a gas not provided for in groups H01L2224/293 - H01L2224/29391 H01L2224/29398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29399Coating material H01L2224/294with a principal constituent of the material being a metal or a metalloid H01L2224/29401the principal constituent melting at a temperature of less than 400°C H01L2224/29405Gallium [Ga] as principal constituent H01L2224/29409Indium [In] as principal constituent H01L2224/29411Tin [Sn] as principal constituent H01L2224/29413Bismuth [Bi] as principal constituent H01L2224/29414Thallium [Tl] as principal constituent H01L2224/29416Lead [Pb] as principal constituent H01L2224/29417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29418Zinc [Zn] as principal constituent H01L2224/2942Antimony [Sb] as principal constituent H01L2224/29423Magnesium [Mg] as principal constituent H01L2224/29424Aluminium [Al] as principal constituent H01L2224/29438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29439Silver [Ag] as principal constituent H01L2224/29444Gold [Au] as principal constituent H01L2224/29447Copper [Cu] as principal constituent H01L2224/29449Manganese [Mn] as principal constituent H01L2224/29455Nickel [Ni] as principal constituent H01L2224/29457Cobalt [Co] as principal constituent H01L2224/2946Iron [Fe] as principal constituent H01L2224/29463the principal constituent melting at a temperature of greater than 1550°C H01L2224/29464Palladium [Pd] as principal constituent H01L2224/29466Titanium [Ti] as principal constituent H01L2224/29469Platinum [Pt] as principal constituent H01L2224/2947Zirconium [Zr] as principal constituent H01L2224/29471Chromium [Cr] as principal constituent H01L2224/29472Vanadium [V] as principal constituent H01L2224/29473Rhodium [Rh] as principal constituent H01L2224/29476Ruthenium [Ru] as principal constituent H01L2224/29478Iridium [Ir] as principal constituent H01L2224/29479Niobium [Nb] as principal constituent H01L2224/2948Molybdenum [Mo] as principal constituent H01L2224/29481Tantalum [Ta] as principal constituent H01L2224/29483Rhenium [Re] as principal constituent H01L2224/29484Tungsten [W] as principal constituent H01L2224/29486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29487Ceramics H01L2224/29488Glasses H01L2224/2949with a principal constituent of the material being a polymer H01L2224/29491The principal constituent being an elastomer H01L2224/29493with a principal constituent of the material being a solid not provided for in groups H01L2224/294 - H01L2224/29491 H01L2224/29494with a principal constituent of the material being a liquid not provided for in groups H01L2224/294 - H01L2224/29491 H01L2224/29495with a principal constituent of the material being a gas not provided for in groups H01L2224/294 - H01L2224/29491 H01L2224/29498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29499Shape or distribution of the fillers H01L2224/2954Coating H01L2224/29541Structure H01L2224/2955Shape H01L2224/29551being non uniform H01L2224/29552comprising protrusions or indentations H01L2224/29553at the bonding interface of the layer connector H01L2224/2956Disposition H01L2224/29561On the entire surface of the core H01L2224/29562On the entire exposed surface of the core H01L2224/29563Only on parts of the surface of the core H01L2224/29564Only on the bonding interface of the layer connector H01L2224/29565Only outside the bonding interface of the layer connector H01L2224/29566Both on and outside the bonding interface of the layer connector H01L2224/2957Single coating layer H01L2224/29575Plural coating layers H01L2224/29576being mutually engaged together H01L2224/29578being disposed next to each other H01L2224/2958being stacked H01L2224/29582Two-layer coating H01L2224/29583Three-layer coating H01L2224/29584Four-layer coating H01L2224/29599Material H01L2224/296with a principal constituent of the material being a metal or a metalloid H01L2224/29601the principal constituent melting at a temperature of less than 400°C H01L2224/29605Gallium [Ga] as principal constituent H01L2224/29609Indium [In] as principal constituent H01L2224/29611Tin [Sn] as principal constituent H01L2224/29613Bismuth [Bi] as principal constituent H01L2224/29614Thallium [Tl] as principal constituent H01L2224/29616Lead [Pb] as principal constituent H01L2224/29617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29618Zinc [Zn] as principal constituent H01L2224/2962Antimony [Sb] as principal constituent H01L2224/29623Magnesium [Mg] as principal constituent H01L2224/29624Aluminium [Al] as principal constituent H01L2224/29638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29639Silver [Ag] as principal constituent H01L2224/29644Gold [Au] as principal constituent H01L2224/29647Copper [Cu] as principal constituent H01L2224/29649Manganese [Mn] as principal constituent H01L2224/29655Nickel [Ni] as principal constituent H01L2224/29657Cobalt [Co] as principal constituent H01L2224/2966Iron [Fe] as principal constituent H01L2224/29663the principal constituent melting at a temperature of greater than 1550°C H01L2224/29664Palladium [Pd] as principal constituent H01L2224/29666Titanium [Ti] as principal constituent H01L2224/29669Platinum [Pt] as principal constituent H01L2224/2967Zirconium [Zr] as principal constituent H01L2224/29671Chromium [Cr] as principal constituent H01L2224/29672Vanadium [V] as principal constituent H01L2224/29673Rhodium [Rh] as principal constituent H01L2224/29676Ruthenium [Ru] as principal constituent H01L2224/29678Iridium [Ir] as principal constituent H01L2224/29679Niobium [Nb] as principal constituent H01L2224/2968Molybdenum [Mo] as principal constituent H01L2224/29681Tantalum [Ta] as principal constituent H01L2224/29683Rhenium [Re] as principal constituent H01L2224/29684Tungsten [W] as principal constituent H01L2224/29686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29687Ceramics H01L2224/29688Glasses H01L2224/2969with a principal constituent of the material being a polymer H01L2224/29691The principal constituent being an elastomer H01L2224/29693with a principal constituent of the material being a solid not provided for in groups H01L2224/296 - H01L2224/29691 H01L2224/29694with a principal constituent of the material being a liquid not provided for in groups H01L2224/296 - H01L2224/29691 H01L2224/29695with a principal constituent of the material being a gas not provided for in groups H01L2224/296 - H01L2224/29691 H01L2224/29698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29699Material of the matrix H01L2224/297with a principal constituent of the material being a metal or a metalloid H01L2224/29701the principal constituent melting at a temperature of less than 400°C H01L2224/29705Gallium [Ga] as principal constituent H01L2224/29709Indium [In] as principal constituent H01L2224/29711Tin [Sn] as principal constituent H01L2224/29713Bismuth [Bi] as principal constituent H01L2224/29714Thallium [Tl] as principal constituent H01L2224/29716Lead [Pb] as principal constituent H01L2224/29717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29718Zinc [Zn] as principal constituent H01L2224/2972Antimony [Sb] as principal constituent H01L2224/29723Magnesium [Mg] as principal constituent H01L2224/29724Aluminium [Al] as principal constituent H01L2224/29738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29739Silver [Ag] as principal constituent H01L2224/29744Gold [Au] as principal constituent H01L2224/29747Copper [Cu] as principal constituent H01L2224/29749Manganese [Mn] as principal constituent H01L2224/29755Nickel [Ni] as principal constituent H01L2224/29757Cobalt [Co] as principal constituent H01L2224/2976Iron [Fe] as principal constituent H01L2224/29763the principal constituent melting at a temperature of greater than 1550°C H01L2224/29764Palladium [Pd] as principal constituent H01L2224/29766Titanium [Ti] as principal constituent H01L2224/29769Platinum [Pt] as principal constituent H01L2224/2977Zirconium [Zr] as principal constituent H01L2224/29771Chromium [Cr] as principal constituent H01L2224/29772Vanadium [V] as principal constituent H01L2224/29773Rhodium [Rh] as principal constituent H01L2224/29776Ruthenium [Ru] as principal constituent H01L2224/29778Iridium [Ir] as principal constituent H01L2224/29779Niobium [Nb] as principal constituent H01L2224/2978Molybdenum [Mo] as principal constituent H01L2224/29781Tantalum [Ta] as principal constituent H01L2224/29783Rhenium [Re] as principal constituent H01L2224/29784Tungsten [W] as principal constituent H01L2224/29786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29787Ceramics H01L2224/29788Glasses H01L2224/2979with a principal constituent of the material being a polymer H01L2224/29791The principal constituent being an elastomer H01L2224/29793with a principal constituent of the material being a solid not provided for in groups H01L2224/297 - H01L2224/29791 H01L2224/29794with a principal constituent of the material being a liquid not provided for in groups H01L2224/297 - H01L2224/29791 H01L2224/29795with a principal constituent of the material being a gas not provided for in groups H01L2224/297 - H01L2224/29791 H01L2224/29798Fillers H01L2224/29799Base material H01L2224/298with a principal constituent of the material being a metal or a metalloid H01L2224/29801the principal constituent melting at a temperature of less than 400°C H01L2224/29805Gallium [Ga] as principal constituent H01L2224/29809Indium [In] as principal constituent H01L2224/29811Tin [Sn] as principal constituent H01L2224/29813Bismuth [Bi] as principal constituent H01L2224/29814Thallium [Tl] as principal constituent H01L2224/29816Lead [Pb] as principal constituent H01L2224/29817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29818Zinc [Zn] as principal constituent H01L2224/2982Antimony [Sb] as principal constituent H01L2224/29823Magnesium [Mg] as principal constituent H01L2224/29824Aluminium [Al] as principal constituent H01L2224/29838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29839Silver [Ag] as principal constituent H01L2224/29844Gold [Au] as principal constituent H01L2224/29847Copper [Cu] as principal constituent H01L2224/29849Manganese [Mn] as principal constituent H01L2224/29855Nickel [Ni] as principal constituent H01L2224/29857Cobalt [Co] as principal constituent H01L2224/2986Iron [Fe] as principal constituent H01L2224/29863the principal constituent melting at a temperature of greater than 1550°C H01L2224/29864Palladium [Pd] as principal constituent H01L2224/29866Titanium [Ti] as principal constituent H01L2224/29869Platinum [Pt] as principal constituent H01L2224/2987Zirconium [Zr] as principal constituent H01L2224/29871Chromium [Cr] as principal constituent H01L2224/29872Vanadium [V] as principal constituent H01L2224/29873Rhodium [Rh] as principal constituent H01L2224/29876Ruthenium [Ru] as principal constituent H01L2224/29878Iridium [Ir] as principal constituent H01L2224/29879Niobium [Nb] as principal constituent H01L2224/2988Molybdenum [Mo] as principal constituent H01L2224/29881Tantalum [Ta] as principal constituent H01L2224/29883Rhenium [Re] as principal constituent H01L2224/29884Tungsten [W] as principal constituent H01L2224/29886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29887Ceramics H01L2224/29888Glasses H01L2224/2989with a principal constituent of the material being a polymer H01L2224/29891The principal constituent being an elastomer H01L2224/29893with a principal constituent of the material being a solid not provided for in groups H01L2224/298 - H01L2224/29891 H01L2224/29894with a principal constituent of the material being a liquid not provided for in groups H01L2224/298 - H01L2224/29891 H01L2224/29895with a principal constituent of the material being a gas not provided for in groups H01L2224/298 - H01L2224/29891 H01L2224/29898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29899Coating material H01L2224/299with a principal constituent of the material being a metal or a metalloid H01L2224/29901the principal constituent melting at a temperature of less than 400°C H01L2224/29905Gallium [Ga] as principal constituent H01L2224/29909Indium [In] as principal constituent H01L2224/29911Tin [Sn] as principal constituent H01L2224/29913Bismuth [Bi] as principal constituent H01L2224/29914Thallium [Tl] as principal constituent H01L2224/29916Lead [Pb] as principal constituent H01L2224/29917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/29918Zinc [Zn] as principal constituent H01L2224/2992Antimony [Sb] as principal constituent H01L2224/29923Magnesium [Mg] as principal constituent H01L2224/29924Aluminium [Al] as principal constituent H01L2224/29938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/29939Silver [Ag] as principal constituent H01L2224/29944Gold [Au] as principal constituent H01L2224/29947Copper [Cu] as principal constituent H01L2224/29949Manganese [Mn] as principal constituent H01L2224/29955Nickel [Ni] as principal constituent H01L2224/29957Cobalt [Co] as principal constituent H01L2224/2996Iron [Fe] as principal constituent H01L2224/29963the principal constituent melting at a temperature of greater than 1550°C H01L2224/29964Palladium [Pd] as principal constituent H01L2224/29966Titanium [Ti] as principal constituent H01L2224/29969Platinum [Pt] as principal constituent H01L2224/2997Zirconium [Zr] as principal constituent H01L2224/29971Chromium [Cr] as principal constituent H01L2224/29972Vanadium [V] as principal constituent H01L2224/29973Rhodium [Rh] as principal constituent H01L2224/29976Ruthenium [Ru] as principal constituent H01L2224/29978Iridium [Ir] as principal constituent H01L2224/29979Niobium [Nb] as principal constituent H01L2224/2998Molybdenum [Mo] as principal constituent H01L2224/29981Tantalum [Ta] as principal constituent H01L2224/29983Rhenium [Re] as principal constituent H01L2224/29984Tungsten [W] as principal constituent H01L2224/29986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/29987Ceramics H01L2224/29988Glasses H01L2224/2999with a principal constituent of the material being a polymer H01L2224/29991The principal constituent being an elastomer H01L2224/29993with a principal constituent of the material being a solid not provided for in groups H01L2224/299 - H01L2224/29991 H01L2224/29994with a principal constituent of the material being a liquid not provided for in groups H01L2224/299 - H01L2224/29991 H01L2224/29995with a principal constituent of the material being a gas not provided for in groups H01L2224/299 - H01L2224/29991 H01L2224/29998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/29999Shape or distribution of the fillers H01L2224/30of a plurality of layer connectors H01L2224/3001Structure H01L2224/3003Layer connectors having different sizes H01L2224/3005Shape H01L2224/30051Layer connectors having different shapes H01L2224/301Disposition H01L2224/30104relative to the bonding areas H01L2224/3011the layer connectors being bonded to at least one common bonding area H01L2224/3012Layout H01L2224/3013Square or rectangular array H01L2224/30131being uniform H01L2224/30132being non uniform H01L2224/30133with a staggered arrangement H01L2224/30134covering only portions of the surface to be connected H01L2224/30135Covering only the peripheral area of the surface to be connected H01L2224/30136Covering only the central area of the surface to be connected H01L2224/3014Circular array H01L2224/30141being uniform H01L2224/30142being non uniform H01L2224/30143covering only portions of the surface to be connected H01L2224/30145Covering only the peripheral area of the surface to be connected H01L2224/30146Covering only the central area of the surface to be connected H01L2224/3015Mirror array H01L2224/30151being uniform H01L2224/30152being non uniform H01L2224/30153with a staggered arrangement H01L2224/30154covering only portions of the surface to be connected H01L2224/30155Covering only the peripheral area of the surface to be connected H01L2224/30156Covering only the central area of the surface to be connected H01L2224/3016Random layout H01L2224/30163with a staggered arrangement H01L2224/30164covering only portions of the surface to be connected H01L2224/30165Covering only the peripheral area of the surface to be connected H01L2224/30166Covering only the central area of the surface to be connected H01L2224/30177Combinations of arrays with different layouts H01L2224/30179Corner adaptations H01L2224/3018being disposed on at least two different sides of the body H01L2224/30181On opposite sides of the body H01L2224/30183On contiguous sides of the body H01L2224/305Material H01L2224/30505Layer connectors having different materials H01L2224/3051Function H01L2224/30515Layer connectors having different functions H01L2224/30517including layer connectors providing primarily mechanical bonding H01L2224/30519including layer connectors providing primarily thermal dissipation H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process H01L2224/32of an individual layer connector H01L2224/3201Structure H01L2224/32012relative to the bonding area H01L2224/32013the layer connector being larger than the bonding area H01L2224/32014the layer connector being smaller than the bonding area H01L2224/3205Shape H01L2224/32052in top view H01L2224/32053being non uniform along the layer connector H01L2224/32054being rectangular or square H01L2224/32055being circular or elliptic H01L2224/32056comprising protrusions or indentations H01L2224/32057in side view H01L2224/32058being non uniform along the layer connector H01L2224/32059comprising protrusions or indentations H01L2224/3207of bonding interfaces H01L2224/321Disposition H01L2224/32104relative to the bonding area H01L2224/32105the layer connector connecting bonding areas being not aligned with respect to each other H01L2224/32106the layer connector connecting one bonding area to at least two respective bonding areas H01L2224/32111the layer connector being disposed in a recess of the surface H01L2224/32112the layer connector being at least partially embedded in the surface H01L2224/32113the whole layer connector protruding from the surface H01L2224/3213the layer connector connecting within a semiconductor or solid-state body H01L2224/32135the layer connector connecting between different semiconductor or solid-state bodies H01L2224/32137the bodies being arranged next to each other H01L2224/32141the bodies being arranged on opposite sides of a substrate H01L2224/32145the bodies being stacked H01L2224/32146the layer connector connecting to a via connection in the semiconductor or solid-state body H01L2224/32147the layer connector connecting to a bonding area disposed in a recess of the surface H01L2224/32148the layer connector connecting to a bonding area protruding from the surface H01L2224/32151the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/32153the body and the item being arranged next to each other H01L2224/32155the item being non-metallic H01L2224/32157the layer connector connecting to a bond pad of the item H01L2224/3216the layer connector connecting to a pin of the item H01L2224/32163the layer connector connecting to a potential ring of the item H01L2224/32165the layer connector connecting to a via metallisation of the item H01L2224/32167the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32168the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32175the item being metallic H01L2224/32183the layer connector connecting to a potential ring of the item H01L2224/32187the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32188the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32195the item being a discrete passive component H01L2224/32197the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32198the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/32221the body and the item being stacked H01L2224/32225the item being non-metallic H01L2224/32227the layer connector connecting to a bond pad of the item H01L2224/3223the layer connector connecting to a pin of the item H01L2224/32233the layer connector connecting to a potential ring of the item H01L2224/32235the layer connector connecting to a via metallisation of the item H01L2224/32237the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32238the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/3224the layer connector connecting between the body and an opposite side of the item with respect to the body H01L2224/32245the item being metallic H01L2224/32253the layer connector connecting to a potential ring of the item H01L2224/32257the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32258the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/3226the layer connector connecting between the body and an opposite side of the item with respect to the body H01L2224/32265the item being a discrete passive component H01L2224/32267the layer connector connecting to a bonding area disposed in a recess of the surface of the item H01L2224/32268the layer connector connecting to a bonding area protruding from the surface of the item H01L2224/325Material H01L2224/32501at the bonding interface H01L2224/32502comprising an eutectic alloy H01L2224/32503comprising an intermetallic compound H01L2224/32505outside the bonding interface H01L2224/32506comprising an eutectic alloy H01L2224/32507comprising an intermetallic compound H01L2224/33of a plurality of layer connectors H01L2224/3301Structure H01L2224/3303Layer connectors having different sizes H01L2224/3305Shape H01L2224/33051Layer connectors having different shapes H01L2224/33055of their bonding interfaces H01L2224/331Disposition H01L2224/33104relative to the bonding areas H01L2224/33106the layer connectors being bonded to at least one common bonding area H01L2224/33107the layer connectors connecting two common bonding areas H01L2224/3312Layout H01L2224/3313Square or rectangular array H01L2224/33132being non uniform H01L2224/33133with a staggered arrangement H01L2224/33134covering only portions of the surface to be connected H01L2224/33135Covering only the peripheral area of the surface to be connected H01L2224/3314Circular array H01L2224/33142being non uniform H01L2224/33143with a staggered arrangement H01L2224/33144covering only portions of the surface to be connected H01L2224/33145Covering only the peripheral area of the surface to be connected H01L2224/3315Mirror array H01L2224/33151being uniform H01L2224/33152being non uniform H01L2224/33153with a staggered arrangement H01L2224/33154covering only portions of the surface to be connected H01L2224/33155Covering only the peripheral area of the surface to be connected H01L2224/33156Covering only the central area of the surface to be connected H01L2224/3316Random layout H01L2224/33163with a staggered arrangement H01L2224/33164covering only portions of the surface to be connected H01L2224/33165Covering only the peripheral area of the surface to be connected H01L2224/33177Combinations of arrays with different layouts H01L2224/33179Corner adaptations H01L2224/3318being disposed on at least two different sides of the body H01L2224/33181On opposite sides of the body H01L2224/33183On contiguous sides of the body H01L2224/335Material H01L2224/33505Layer connectors having different materials H01L2224/3351Function H01L2224/33515Layer connectors having different functions H01L2224/33517including layer connectors providing primarily mechanical support H01L2224/33519including layer connectors providing primarily thermal dissipation H01L2224/34Strap connectors H01L2224/35Manufacturing methods H01L2224/35001Involving a temporary auxiliary member not forming part of the manufacturing apparatus H01L2224/351Pre-treatment of the preform connector H01L2224/3512Applying permanent coating H01L2224/35125Plating H01L2224/352Mechanical processes H01L2224/3521Pulling H01L2224/355Modification of a pre-existing material H01L2224/3551Sintering H01L2224/3552Anodisation H01L2224/357Involving monitoring H01L2224/358Post-treatment of the connector H01L2224/3581Cleaning H01L2224/3582Applying permanent coating H01L2224/35821Spray coating H01L2224/35822Dip coating H01L2224/35823Immersion coating H01L2224/35824Chemical solution deposition [CSD] H01L2224/35825Plating H01L2224/35826Physical vapour deposition [PVD] H01L2224/35827Chemical vapour deposition [CVD] H01L2224/3583Reworking H01L2224/35831with a chemical process H01L2224/35847with a mechanical process H01L2224/35848Thermal treatments H01L2224/35985Methods of manufacturing strap connectors involving a specific sequence of method steps H01L2224/35986with repetition of the same manufacturing step H01L2224/36Structure, shape, material or disposition of the strap connectors prior to the connecting process H01L2224/37of an individual strap connector H01L2224/37001Core members of the connector H01L2224/37005Structure H01L2224/3701Shape H01L2224/37011comprising apertures or cavities H01L2224/37012Cross-sectional shape H01L2224/37013being non uniform along the connector H01L2224/3702Disposition H01L2224/37025Plural core members H01L2224/37026being mutually engaged together H01L2224/37028Side-to-side arrangements H01L2224/3703Stacked arrangements H01L2224/37032Two-layer arrangements H01L2224/37033Three-layer arrangements H01L2224/37034Four-layer arrangements H01L2224/37099Material H01L2224/371with a principal constituent of the material being a metal or a metalloid H01L2224/37101the principal constituent melting at a temperature of less than 400°C H01L2224/37105Gallium [Ga] as principal constituent H01L2224/37109Indium [In] as principal constituent H01L2224/37111Tin [Sn] as principal constituent H01L2224/37113Bismuth [Bi] as principal constituent H01L2224/37114Thallium [Tl] as principal constituent H01L2224/37116Lead [Pb] as principal constituent H01L2224/37117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37118Zinc [Zn] as principal constituent H01L2224/3712Antimony [Sb] as principal constituent H01L2224/37123Magnesium [Mg] as principal constituent H01L2224/37124Aluminium [Al] as principal constituent H01L2224/37138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37139Silver [Ag] as principal constituent H01L2224/37144Gold [Au] as principal constituent H01L2224/37147Copper [Cu] as principal constituent H01L2224/37149Manganese [Mn] as principal constituent H01L2224/37155Nickel [Ni] as principal constituent H01L2224/37157Cobalt [Co] as principal constituent H01L2224/3716Iron [Fe] as principal constituent H01L2224/37163the principal constituent melting at a temperature of greater than 1550°C H01L2224/37164Palladium [Pd] as principal constituent H01L2224/37166Titanium [Ti] as principal constituent H01L2224/37169Platinum [Pt] as principal constituent H01L2224/3717Zirconium [Zr] as principal constituent H01L2224/37171Chromium [Cr] as principal constituent H01L2224/37172Vanadium [V] as principal constituent H01L2224/37173Rhodium [Rh] as principal constituent H01L2224/37176Ruthenium [Ru] as principal constituent H01L2224/37178Iridium [Ir] as principal constituent H01L2224/37179Niobium [Nb] as principal constituent H01L2224/3718Molybdenum [Mo] as principal constituent H01L2224/37181Tantalum [Ta] as principal constituent H01L2224/37183Rhenium [Re] as principal constituent H01L2224/37184Tungsten [W] as principal constituent H01L2224/37186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37187Ceramics H01L2224/37188Glasses H01L2224/3719with a principal constituent of the material being a polymer H01L2224/37191The principal constituent being an elastomer H01L2224/37193with a principal constituent of the material being a solid not provided for in groups H01L2224/371 - H01L2224/37191 H01L2224/37194with a principal constituent of the material being a liquid not provided for in groups H01L2224/371 - H01L2224/37191 H01L2224/37195with a principal constituent of the material being a gas not provided for in groups H01L2224/371 - H01L2224/37191 H01L2224/37198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37199Material of the matrix H01L2224/372with a principal constituent of the material being a metal or a metalloid H01L2224/37201the principal constituent melting at a temperature of less than 400°C H01L2224/37205Gallium [Ga] as principal constituent H01L2224/37209Indium [In] as principal constituent H01L2224/37211Tin [Sn] as principal constituent H01L2224/37213Bismuth [Bi] as principal constituent H01L2224/37214Thallium [Tl] as principal constituent H01L2224/37216Lead [Pb] as principal constituent H01L2224/37217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37218Zinc [Zn] as principal constituent H01L2224/3722Antimony [Sb] as principal constituent H01L2224/37223Magnesium [Mg] as principal constituent H01L2224/37224Aluminium [Al] as principal constituent H01L2224/37238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37239Silver [Ag] as principal constituent H01L2224/37244Gold [Au] as principal constituent H01L2224/37247Copper [Cu] as principal constituent H01L2224/37249Manganese [Mn] as principal constituent H01L2224/37255Nickel [Ni] as principal constituent H01L2224/37257Cobalt [Co] as principal constituent H01L2224/3726Iron [Fe] as principal constituent H01L2224/37263the principal constituent melting at a temperature of greater than 1550°C H01L2224/37264Palladium [Pd] as principal constituent H01L2224/37266Titanium [Ti] as principal constituent H01L2224/37269Platinum [Pt] as principal constituent H01L2224/3727Zirconium [Zr] as principal constituent H01L2224/37271Chromium [Cr] as principal constituent H01L2224/37272Vanadium [V] as principal constituent H01L2224/37273Rhodium [Rh] as principal constituent H01L2224/37276Ruthenium [Ru] as principal constituent H01L2224/37278Iridium [Ir] as principal constituent H01L2224/37279Niobium [Nb] as principal constituent H01L2224/3728Molybdenum [Mo] as principal constituent H01L2224/37281Tantalum [Ta] as principal constituent H01L2224/37283Rhenium [Re] as principal constituent H01L2224/37284Tungsten [W] as principal constituent H01L2224/37286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37287Ceramics H01L2224/37288Glasses H01L2224/3729with a principal constituent of the material being a polymer H01L2224/37291The principal constituent being an elastomer H01L2224/37293with a principal constituent of the material being a solid not provided for in groups H01L2224/372 - H01L2224/37291 H01L2224/37294with a principal constituent of the material being a liquid not provided for in groups H01L2224/372 - H01L2224/37291 H01L2224/37295with a principal constituent of the material being a gas not provided for in groups H01L2224/372 - H01L2224/37291 H01L2224/37298Fillers H01L2224/37299Base material H01L2224/373with a principal constituent of the material being a metal or a metalloid H01L2224/37301the principal constituent melting at a temperature of less than 400°C H01L2224/37305Gallium [Ga] as principal constituent H01L2224/37309Indium [In] as principal constituent H01L2224/37311Tin [Sn] as principal constituent H01L2224/37313Bismuth [Bi] as principal constituent H01L2224/37314Thallium [Tl] as principal constituent H01L2224/37316Lead [Pb] as principal constituent H01L2224/37317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37318Zinc [Zn] as principal constituent H01L2224/3732Antimony [Sb] as principal constituent H01L2224/37323Magnesium [Mg] as principal constituent H01L2224/37324Aluminium [Al] as principal constituent H01L2224/37338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37339Silver [Ag] as principal constituent H01L2224/37344Gold [Au] as principal constituent H01L2224/37347Copper [Cu] as principal constituent H01L2224/37349Manganese [Mn] as principal constituent H01L2224/37355Nickel [Ni] as principal constituent H01L2224/37357Cobalt [Co] as principal constituent H01L2224/3736Iron [Fe] as principal constituent H01L2224/37363the principal constituent melting at a temperature of greater than 1550°C H01L2224/37364Palladium [Pd] as principal constituent H01L2224/37366Titanium [Ti] as principal constituent H01L2224/37369Platinum [Pt] as principal constituent H01L2224/3737Zirconium [Zr] as principal constituent H01L2224/37371Chromium [Cr] as principal constituent H01L2224/37372Vanadium [V] as principal constituent H01L2224/37373Rhodium [Rh] as principal constituent H01L2224/37376Ruthenium [Ru] as principal constituent H01L2224/37378Iridium [Ir] as principal constituent H01L2224/37379Niobium [Nb] as principal constituent H01L2224/3738Molybdenum [Mo] as principal constituent H01L2224/37381Tantalum [Ta] as principal constituent H01L2224/37383Rhenium [Re] as principal constituent H01L2224/37384Tungsten [W] as principal constituent H01L2224/37386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37387Ceramics H01L2224/37388Glasses H01L2224/3739with a principal constituent of the material being a polymer H01L2224/37391The principal constituent being an elastomer H01L2224/37393with a principal constituent of the material being a solid not provided for in groups H01L2224/373 - H01L2224/37391 H01L2224/37394with a principal constituent of the material being a liquid not provided for in groups H01L2224/373 - H01L2224/37391 H01L2224/37395with a principal constituent of the material being a gas not provided for in groups H01L2224/373 - H01L2224/37391 H01L2224/37398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37399Coating material H01L2224/374with a principal constituent of the material being a metal or a metalloid H01L2224/37401the principal constituent melting at a temperature of less than 400°C H01L2224/37405Gallium [Ga] as principal constituent H01L2224/37409Indium [In] as principal constituent H01L2224/37411Tin [Sn] as principal constituent H01L2224/37413Bismuth [Bi] as principal constituent H01L2224/37414Thallium [Tl] as principal constituent H01L2224/37416Lead [Pb] as principal constituent H01L2224/37417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37418Zinc [Zn] as principal constituent H01L2224/3742Antimony [Sb] as principal constituent H01L2224/37423Magnesium [Mg] as principal constituent H01L2224/37424Aluminium [Al] as principal constituent H01L2224/37438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37439Silver [Ag] as principal constituent H01L2224/37444Gold [Au] as principal constituent H01L2224/37447Copper [Cu] as principal constituent H01L2224/37449Manganese [Mn] as principal constituent H01L2224/37455Nickel [Ni] as principal constituent H01L2224/37457Cobalt [Co] as principal constituent H01L2224/3746Iron [Fe] as principal constituent H01L2224/37463the principal constituent melting at a temperature of greater than 1550°C H01L2224/37464Palladium [Pd] as principal constituent H01L2224/37466Titanium [Ti] as principal constituent H01L2224/37469Platinum [Pt] as principal constituent H01L2224/3747Zirconium [Zr] as principal constituent H01L2224/37471Chromium [Cr] as principal constituent H01L2224/37472Vanadium [V] as principal constituent H01L2224/37473Rhodium [Rh] as principal constituent H01L2224/37476Ruthenium [Ru] as principal constituent H01L2224/37478Iridium [Ir] as principal constituent H01L2224/37479Niobium [Nb] as principal constituent H01L2224/3748Molybdenum [Mo] as principal constituent H01L2224/37481Tantalum [Ta] as principal constituent H01L2224/37483Rhenium [Re] as principal constituent H01L2224/37484Tungsten [W] as principal constituent H01L2224/37486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37487Ceramics H01L2224/37488Glasses H01L2224/3749with a principal constituent of the material being a polymer H01L2224/37491The principal constituent being an elastomer H01L2224/37493with a principal constituent of the material being a solid not provided for in groups H01L2224/374 - H01L2224/37491 H01L2224/37494with a principal constituent of the material being a liquid not provided for in groups H01L2224/374 - H01L2224/37491 H01L2224/37495with a principal constituent of the material being a gas not provided for in groups H01L2224/374 - H01L2224/37491 H01L2224/37498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37499Shape or distribution of the fillers H01L2224/3754Coating H01L2224/37541Structure H01L2224/3755Shape H01L2224/3756Disposition H01L2224/37565Single coating layer H01L2224/3757Plural coating layers H01L2224/37572Two-layer stack coating H01L2224/37573Three-layer stack coating H01L2224/37574Four-layer stack coating H01L2224/37576being mutually engaged together H01L2224/37578being disposed next to each other H01L2224/37599Material H01L2224/376with a principal constituent of the material being a metal or a metalloid H01L2224/37601the principal constituent melting at a temperature of less than 400°C H01L2224/37605Gallium [Ga] as principal constituent H01L2224/37609Indium [In] as principal constituent H01L2224/37611Tin [Sn] as principal constituent H01L2224/37613Bismuth [Bi] as principal constituent H01L2224/37614Thallium [Tl] as principal constituent H01L2224/37616Lead [Pb] as principal constituent H01L2224/37617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37618Zinc [Zn] as principal constituent H01L2224/3762Antimony [Sb] as principal constituent H01L2224/37623Magnesium [Mg] as principal constituent H01L2224/37624Aluminium [Al] as principal constituent H01L2224/37638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37639Silver [Ag] as principal constituent H01L2224/37644Gold [Au] as principal constituent H01L2224/37647Copper [Cu] as principal constituent H01L2224/37649Manganese [Mn] as principal constituent H01L2224/37655Nickel [Ni] as principal constituent H01L2224/37657Cobalt [Co] as principal constituent H01L2224/3766Iron [Fe] as principal constituent H01L2224/37663the principal constituent melting at a temperature of greater than 1550°C H01L2224/37664Palladium [Pd] as principal constituent H01L2224/37666Titanium [Ti] as principal constituent H01L2224/37669Platinum [Pt] as principal constituent H01L2224/3767Zirconium [Zr] as principal constituent H01L2224/37671Chromium [Cr] as principal constituent H01L2224/37672Vanadium [V] as principal constituent H01L2224/37673Rhodium [Rh] as principal constituent H01L2224/37676Ruthenium [Ru] as principal constituent H01L2224/37678Iridium [Ir] as principal constituent H01L2224/37679Niobium [Nb] as principal constituent H01L2224/3768Molybdenum [Mo] as principal constituent H01L2224/37681Tantalum [Ta] as principal constituent H01L2224/37683Rhenium [Re] as principal constituent H01L2224/37684Tungsten [W] as principal constituent H01L2224/37686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37687Ceramics H01L2224/37688Glasses H01L2224/3769with a principal constituent of the material being a polymer H01L2224/37691The principal constituent being an elastomer H01L2224/37693with a principal constituent of the material being a solid not provided for in groups H01L2224/376 - H01L2224/37691 H01L2224/37694with a principal constituent of the material being a liquid not provided for in groups H01L2224/376 - H01L2224/37691 H01L2224/37695with a principal constituent of the material being a gas not provided for in groups H01L2224/376 - H01L2224/37691 H01L2224/37698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37699Material of the matrix H01L2224/377with a principal constituent of the material being a metal or a metalloid H01L2224/37701the principal constituent melting at a temperature of less than 400°C H01L2224/37705Gallium [Ga] as principal constituent H01L2224/37709Indium [In] as principal constituent H01L2224/37711Tin [Sn] as principal constituent H01L2224/37713Bismuth [Bi] as principal constituent H01L2224/37714Thallium [Tl] as principal constituent H01L2224/37716Lead [Pb] as principal constituent H01L2224/37717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37718Zinc [Zn] as principal constituent H01L2224/3772Antimony [Sb] as principal constituent H01L2224/37723Magnesium [Mg] as principal constituent H01L2224/37724Aluminium [Al] as principal constituent H01L2224/37738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37739Silver [Ag] as principal constituent H01L2224/37744Gold [Au] as principal constituent H01L2224/37747Copper [Cu] as principal constituent H01L2224/37749Manganese [Mn] as principal constituent H01L2224/37755Nickel [Ni] as principal constituent H01L2224/37757Cobalt [Co] as principal constituent H01L2224/3776Iron [Fe] as principal constituent H01L2224/37763the principal constituent melting at a temperature of greater than 1550°C H01L2224/37764Palladium [Pd] as principal constituent H01L2224/37766Titanium [Ti] as principal constituent H01L2224/37769Platinum [Pt] as principal constituent H01L2224/3777Zirconium [Zr] as principal constituent H01L2224/37771Chromium [Cr] as principal constituent H01L2224/37772Vanadium [V] as principal constituent H01L2224/37773Rhodium [Rh] as principal constituent H01L2224/37776Ruthenium [Ru] as principal constituent H01L2224/37778Iridium [Ir] as principal constituent H01L2224/37779Niobium [Nb] as principal constituent H01L2224/3778Molybdenum [Mo] as principal constituent H01L2224/37781Tantalum [Ta] as principal constituent H01L2224/37783Rhenium [Re] as principal constituent H01L2224/37784Tungsten [W] as principal constituent H01L2224/37786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37787Ceramics H01L2224/37788Glasses H01L2224/3779with a principal constituent of the material being a polymer H01L2224/37791The principal constituent being an elastomer H01L2224/37793with a principal constituent of the material being a solid not provided for in groups H01L2224/377 - H01L2224/37791 H01L2224/37794with a principal constituent of the material being a liquid not provided for in groups H01L2224/377 - H01L2224/37791 H01L2224/37795with a principal constituent of the material being a gas not provided for in groups H01L2224/377 - H01L2224/37791 H01L2224/37798Fillers H01L2224/37799Base material H01L2224/378with a principal constituent of the material being a metal or a metalloid H01L2224/37801the principal constituent melting at a temperature of less than 400°C H01L2224/37805Gallium [Ga] as principal constituent H01L2224/37809Indium [In] as principal constituent H01L2224/37811Tin [Sn] as principal constituent H01L2224/37813Bismuth [Bi] as principal constituent H01L2224/37814Thallium [Tl] as principal constituent H01L2224/37816Lead [Pb] as principal constituent H01L2224/37817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37818Zinc [Zn] as principal constituent H01L2224/3782Antimony [Sb] as principal constituent H01L2224/37823Magnesium [Mg] as principal constituent H01L2224/37824Aluminium [Al] as principal constituent H01L2224/37838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37839Silver [Ag] as principal constituent H01L2224/37844Gold [Au] as principal constituent H01L2224/37847Copper [Cu] as principal constituent H01L2224/37849Manganese [Mn] as principal constituent H01L2224/37855Nickel [Ni] as principal constituent H01L2224/37857Cobalt [Co] as principal constituent H01L2224/3786Iron [Fe] as principal constituent H01L2224/37863the principal constituent melting at a temperature of greater than 1550°C H01L2224/37864Palladium [Pd] as principal constituent H01L2224/37866Titanium [Ti] as principal constituent H01L2224/37869Platinum [Pt] as principal constituent H01L2224/3787Zirconium [Zr] as principal constituent H01L2224/37871Chromium [Cr] as principal constituent H01L2224/37872Vanadium [V] as principal constituent H01L2224/37873Rhodium [Rh] as principal constituent H01L2224/37876Ruthenium [Ru] as principal constituent H01L2224/37878Iridium [Ir] as principal constituent H01L2224/37879Niobium [Nb] as principal constituent H01L2224/3788Molybdenum [Mo] as principal constituent H01L2224/37881Tantalum [Ta] as principal constituent H01L2224/37883Rhenium [Re] as principal constituent H01L2224/37884Tungsten [W] as principal constituent H01L2224/37886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37887Ceramics H01L2224/37888Glasses H01L2224/3789with a principal constituent of the material being a polymer H01L2224/37891The principal constituent being an elastomer H01L2224/37893with a principal constituent of the material being a solid not provided for in groups H01L2224/378 - H01L2224/37891 H01L2224/37894with a principal constituent of the material being a liquid not provided for in groups H01L2224/378 - H01L2224/37891 H01L2224/37895with a principal constituent of the material being a gas not provided for in groups H01L2224/378 - H01L2224/37891 H01L2224/37898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37899Coating material H01L2224/379with a principal constituent of the material being a metal or a metalloid H01L2224/37901the principal constituent melting at a temperature of less than 400°C H01L2224/37905Gallium [Ga] as principal constituent H01L2224/37909Indium [In] as principal constituent H01L2224/37911Tin [Sn] as principal constituent H01L2224/37913Bismuth [Bi] as principal constituent H01L2224/37914Thallium [Tl] as principal constituent H01L2224/37916Lead [Pb] as principal constituent H01L2224/37917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/37918Zinc [Zn] as principal constituent H01L2224/3792Antimony [Sb] as principal constituent H01L2224/37923Magnesium [Mg] as principal constituent H01L2224/37924Aluminium [Al] as principal constituent H01L2224/37938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/37939Silver [Ag] as principal constituent H01L2224/37944Gold [Au] as principal constituent H01L2224/37947Copper [Cu] as principal constituent H01L2224/37949Manganese [Mn] as principal constituent H01L2224/37955Nickel [Ni] as principal constituent H01L2224/37957Cobalt [Co] as principal constituent H01L2224/3796Iron [Fe] as principal constituent H01L2224/37963the principal constituent melting at a temperature of greater than 1550°C H01L2224/37964Palladium [Pd] as principal constituent H01L2224/37966Titanium [Ti] as principal constituent H01L2224/37969Platinum [Pt] as principal constituent H01L2224/3797Zirconium [Zr] as principal constituent H01L2224/37971Chromium [Cr] as principal constituent H01L2224/37972Vanadium [V] as principal constituent H01L2224/37973Rhodium [Rh] as principal constituent H01L2224/37976Ruthenium [Ru] as principal constituent H01L2224/37978Iridium [Ir] as principal constituent H01L2224/37979Niobium [Nb] as principal constituent H01L2224/3798Molybdenum [Mo] as principal constituent H01L2224/37981Tantalum [Ta] as principal constituent H01L2224/37983Rhenium [Re] as principal constituent H01L2224/37984Tungsten [W] as principal constituent H01L2224/37986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/37987Ceramics H01L2224/37988Glasses H01L2224/3799with a principal constituent of the material being a polymer H01L2224/37991The principal constituent being an elastomer H01L2224/37993with a principal constituent of the material being a solid not provided for in groups H01L2224/379 - H01L2224/37991 H01L2224/37994with a principal constituent of the material being a liquid not provided for in groups H01L2224/379 - H01L2224/37991 H01L2224/37995with a principal constituent of the material being a gas not provided for in groups H01L2224/379 - H01L2224/37991 H01L2224/37998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/37999Shape or distribution of the fillers H01L2224/38of a plurality of strap connectors H01L2224/39Structure, shape, material or disposition of the strap connectors after the connecting process H01L2224/40of an individual strap connector H01L2224/4001Structure H01L2224/4005Shape H01L2224/4007of bonding interfaces H01L2224/4009Loop shape H01L2224/40091Arched H01L2224/40095Kinked H01L2224/401Disposition H01L2224/40101Connecting bonding areas at the same height H01L2224/40105Connecting bonding areas at different heights H01L2224/40106the connector being orthogonal to a side surface of the semiconductor or solid-state body H01L2224/40108the connector not being orthogonal to a side surface of the semiconductor or solid-state body H01L2224/40111the strap connector extending above another semiconductor or solid-state body H01L2224/4013Connecting within a semiconductor or solid-state body H01L2224/40132with an intermediate bond H01L2224/40135Connecting between different semiconductor or solid-state bodies H01L2224/40137the bodies being arranged next to each other H01L2224/40139with an intermediate bond H01L2224/40141the bodies being arranged on opposite sides of a substrate H01L2224/40145the bodies being stacked H01L2224/40147with an intermediate bond H01L2224/40151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/40153the body and the item being arranged next to each other H01L2224/40155the item being non-metallic H01L2224/40157Connecting the strap to a bond pad of the item H01L2224/40158the bond pad being disposed in a recess of the surface of the item H01L2224/40159the bond pad protruding from the surface of the item H01L2224/4016Connecting the strap to a pin of the item H01L2224/40163Connecting the strap to a potential ring of the item H01L2224/40165Connecting the strap to a via metallisation of the item H01L2224/40175the item being metallic H01L2224/40177Connecting the strap to a bond pad of the item H01L2224/40178the bond pad being disposed in a recess of the surface of the item H01L2224/40179the bond pad protruding from the surface of the item H01L2224/40183Connecting the strap to a potential ring of the item H01L2224/40195the item being a discrete passive component H01L2224/40221the body and the item being stacked H01L2224/40225the item being non-metallic H01L2224/40227Connecting the strap to a bond pad of the item H01L2224/40228the bond pad being disposed in a recess of the surface of the item H01L2224/40229the bond pad protruding from the surface of the item H01L2224/4023Connecting the strap to a pin of the item H01L2224/40233Connecting the strap to a potential ring of the item H01L2224/40235Connecting the strap to a via metallisation of the item H01L2224/40237Connecting the strap to a die pad of the item H01L2224/4024Connecting between the body and an opposite side of the item with respect to the body H01L2224/40245the item being metallic H01L2224/40247Connecting the strap to a bond pad of the item H01L2224/40248the bond pad being disposed in a recess of the surface of the item H01L2224/40249the bond pad protruding from the surface of the item H01L2224/40253Connecting the strap to a potential ring of the item H01L2224/40257Connecting the strap to a die pad of the item H01L2224/4026Connecting between the body and an opposite side of the item with respect to the body H01L2224/40265the item being a discrete passive component H01L2224/404Connecting portions H01L2224/4046with multiple bonds on the same bonding area H01L2224/40475connected to auxiliary connecting means on the bonding areas H01L2224/40477being a pre-ball ( H01L2224/40479on the semiconductor or solid-state body H01L2224/4048outside the semiconductor or solid-state body H01L2224/40484being a plurality of pre-balls disposed side-to-side H01L2224/40486on the semiconductor or solid-state body H01L2224/40487outside the semiconductor or solid-state body H01L2224/40491being an additional member attached to the bonding area through an adhesive or solder H01L2224/40496not being interposed between the connector and the bonding area H01L2224/40499Material of the auxiliary connecting means H01L2224/405Material H01L2224/40505at the bonding interface H01L2224/40506comprising an eutectic alloy H01L2224/40507comprising an intermetallic compound H01L2224/4051Morphology of the connecting portion H01L2224/4052Bonding interface between the connecting portion and the bonding area H01L2224/4099Auxiliary members for strap connectors H01L2224/40991being formed on the semiconductor or solid-state body to be connected H01L2224/40992Reinforcing structures H01L2224/40993Alignment aids H01L2224/40996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/40997Reinforcing structures H01L2224/40998Alignment aids H01L2224/41of a plurality of strap connectors H01L2224/4101Structure H01L2224/4103Connectors having different sizes H01L2224/4105Shape H01L2224/41051Connectors having different shapes H01L2224/41052Different loop heights H01L2224/411Disposition H01L2224/41105Connecting at different heights H01L2224/41107on the semiconductor or solid-state body being H01L2224/41109outside the semiconductor or solid-state body H01L2224/4111the connectors being bonded to at least one common bonding area H01L2224/41111the connectors connecting two common bonding areas H01L2224/41112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body H01L2224/41113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body H01L2224/4112Layout H01L2224/4117Crossed straps H01L2224/41171Fan-out arrangements H01L2224/41173Radial fan-out arrangements H01L2224/41174Stacked arrangements H01L2224/41175Parallel arrangements H01L2224/41176Strap connectors having the same loop shape and height H01L2224/41177Combinations of different arrangements H01L2224/41179Corner adaptations H01L2224/4118being disposed on at least two different sides of the body H01L2224/414Connecting portions H01L2224/4141the connecting portions being stacked H01L2224/41421on the semiconductor or solid-state body H01L2224/41422outside the semiconductor or solid-state body H01L2224/4143the connecting portions being staggered H01L2224/415Material H01L2224/41505Connectors having different materials H01L2224/42Wire connectors Manufacturing methods related thereto H01L2224/43Manufacturing methods H01L2224/43001Involving a temporary auxiliary member not forming part of the manufacturing apparatus H01L2224/431Pre-treatment of the preform connector H01L2224/4312Applying permanent coating H01L2224/43125Plating H01L2224/432Mechanical processes H01L2224/4321Pulling H01L2224/435Modification of a pre-existing material H01L2224/4351Sintering H01L2224/4352Anodisation H01L2224/437Involving monitoring H01L2224/438Post-treatment of the connector H01L2224/4381Cleaning H01L2224/4382Applying permanent coating H01L2224/43821Spray coating H01L2224/43822Dip coating H01L2224/43823Immersion coating H01L2224/43824Chemical solution deposition [CSD] H01L2224/43825Plating H01L2224/43826Physical vapour deposition [PVD] H01L2224/43827Chemical vapour deposition [CVD] H01L2224/4383Reworking H01L2224/43831with a chemical process H01L2224/43847with a mechanical process H01L2224/43848Thermal treatments H01L2224/43985Methods of manufacturing wire connectors involving a specific sequence of method steps H01L2224/43986with repetition of the same manufacturing step H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process H01L2224/45of an individual wire connector H01L2224/45001Core members of the connector H01L2224/45005Structure H01L2224/4501Shape H01L2224/45012Cross-sectional shape H01L2224/45013being non uniform along the connector H01L2224/45014Ribbon connectors H01L2224/45015being circular H01L2224/45016being elliptic H01L2224/4502Disposition H01L2224/45025Plural core members H01L2224/45026being mutually engaged together H01L2224/45028Side-to-side arrangements H01L2224/4503Stacked arrangements H01L2224/45032Two-layer arrangements H01L2224/45033Three-layer arrangements H01L2224/45034Four-layer arrangements H01L2224/45099Material H01L2224/451with a principal constituent of the material being a metal or a metalloid H01L2224/45101the principal constituent melting at a temperature of less than 400°C H01L2224/45105Gallium (Ga) as principal constituent H01L2224/45109Indium (In) as principal constituent H01L2224/45111Tin (Sn) as principal constituent H01L2224/45113Bismuth (Bi) as principal constituent H01L2224/45114Thallium (Tl) as principal constituent H01L2224/45116Lead (Pb) as principal constituent H01L2224/45117the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45118Zinc (Zn) as principal constituent H01L2224/4512Antimony (Sb) as principal constituent H01L2224/45123Magnesium (Mg) as principal constituent H01L2224/45124Aluminium (Al) as principal constituent H01L2224/45138the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45139Silver (Ag) as principal constituent H01L2224/45144Gold (Au) as principal constituent H01L2224/45147Copper (Cu) as principal constituent H01L2224/45149Manganese (Mn) as principal constituent H01L2224/45155Nickel (Ni) as principal constituent H01L2224/45157Cobalt (Co) as principal constituent H01L2224/4516Iron (Fe) as principal constituent H01L2224/45163the principal constituent melting at a temperature of greater than 1550°C H01L2224/45164Palladium (Pd) as principal constituent H01L2224/45166Titanium (Ti) as principal constituent H01L2224/45169Platinum (Pt) as principal constituent H01L2224/4517Zirconium (Zr) as principal constituent H01L2224/45171Chromium (Cr) as principal constituent H01L2224/45172Vanadium (V) as principal constituent H01L2224/45173Rhodium (Rh) as principal constituent H01L2224/45176Ruthenium (Ru) as principal constituent H01L2224/45178Iridium (Ir) as principal constituent H01L2224/45179Niobium (Nb) as principal constituent H01L2224/4518Molybdenum (Mo) as principal constituent H01L2224/45181Tantalum (Ta) as principal constituent H01L2224/45183Rhenium (Re) as principal constituent H01L2224/45184Tungsten (W) as principal constituent H01L2224/45186with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45187Ceramics H01L2224/45188Glasses H01L2224/4519with a principal constituent of the material being a polymer H01L2224/45191The principal constituent being an elastomer H01L2224/45193with a principal constituent of the material being a solid not provided for in groups H01L2224/451 - H01L2224/45191 H01L2224/45194with a principal constituent of the material being a liquid not provided for in groups H01L2224/451 - H01L2224/45191 H01L2224/45195with a principal constituent of the material being a gas not provided for in groups H01L2224/451 - H01L2224/45191 H01L2224/45198with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45199Material of the matrix H01L2224/452with a principal constituent of the material being a metal or a metalloid H01L2224/45201the principal constituent melting at a temperature of less than 400°C H01L2224/45205Gallium (Ga) as principal constituent H01L2224/45209Indium (In) as principal constituent H01L2224/45211Tin (Sn) as principal constituent H01L2224/45213Bismuth (Bi) as principal constituent H01L2224/45214Thallium (Tl) as principal constituent H01L2224/45216Lead (Pb) as principal constituent H01L2224/45217the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45218Zinc (Zn) as principal constituent H01L2224/4522Antimony (Sb) as principal constituent H01L2224/45223Magnesium (Mg) as principal constituent H01L2224/45224Aluminium (Al) as principal constituent H01L2224/45238the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45239Silver (Ag) as principal constituent H01L2224/45244Gold (Au) as principal constituent H01L2224/45247Copper (Cu) as principal constituent H01L2224/45249Manganese (Mn) as principal constituent H01L2224/45255Nickel (Ni) as principal constituent H01L2224/45257Cobalt (Co) as principal constituent H01L2224/4526Iron (Fe) as principal constituent H01L2224/45263the principal constituent melting at a temperature of greater than 1550°C H01L2224/45264Palladium (Pd) as principal constituent H01L2224/45266Titanium (Ti) as principal constituent H01L2224/45269Platinum (Pt) as principal constituent H01L2224/4527Zirconium (Zr) as principal constituent H01L2224/45271Chromium (Cr) as principal constituent H01L2224/45272Vanadium (V) as principal constituent H01L2224/45273Rhodium (Rh) as principal constituent H01L2224/45276Ruthenium (Ru) as principal constituent H01L2224/45278Iridium (Ir) as principal constituent H01L2224/45279Niobium (Nb) as principal constituent H01L2224/4528Molybdenum (Mo) as principal constituent H01L2224/45281Tantalum (Ta) as principal constituent H01L2224/45283Rhenium (Re) as principal constituent H01L2224/45284Tungsten (W) as principal constituent H01L2224/45286with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45287Ceramics H01L2224/45288Glasses H01L2224/4529with a principal constituent of the material being a polymer H01L2224/45291The principal constituent being an elastomer H01L2224/45293with a principal constituent of the material being a solid not provided for in groups H01L2224/452 - H01L2224/45291 H01L2224/45294with a principal constituent of the material being a liquid not provided for in groups H01L2224/452 - H01L2224/45291 H01L2224/45295with a principal constituent of the material being a gas not provided for in groups H01L2224/452 - H01L2224/45291 H01L2224/45298Fillers H01L2224/45299Base material H01L2224/453with a principal constituent of the material being a metal or a metalloid H01L2224/45301the principal constituent melting at a temperature of less than 400°C H01L2224/45305Gallium (Ga) as principal constituent H01L2224/45309Indium (In) as principal constituent H01L2224/45311Tin (Sn) as principal constituent H01L2224/45313Bismuth (Bi) as principal constituent H01L2224/45314Thallium (Tl) as principal constituent H01L2224/45316Lead (Pb) as principal constituent H01L2224/45317the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45318Zinc (Zn) as principal constituent H01L2224/4532Antimony (Sb) as principal constituent H01L2224/45323Magnesium (Mg) as principal constituent H01L2224/45324Aluminium (Al) as principal constituent H01L2224/45338the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45339Silver (Ag) as principal constituent H01L2224/45344Gold (Au) as principal constituent H01L2224/45347Copper (Cu) as principal constituent H01L2224/45349Manganese (Mn) as principal constituent H01L2224/45355Nickel (Ni) as principal constituent H01L2224/45357Cobalt (Co) as principal constituent H01L2224/4536Iron (Fe) as principal constituent H01L2224/45363the principal constituent melting at a temperature of greater than 1550°C H01L2224/45364Palladium (Pd) as principal constituent H01L2224/45366Titanium (Ti) as principal constituent H01L2224/45369Platinum (Pt) as principal constituent H01L2224/4537Zirconium (Zr) as principal constituent H01L2224/45371Chromium (Cr) as principal constituent H01L2224/45372Vanadium (V) as principal constituent H01L2224/45373Rhodium (Rh) as principal constituent H01L2224/45376Ruthenium (Ru) as principal constituent H01L2224/45378Iridium (Ir) as principal constituent H01L2224/45379Niobium (Nb) as principal constituent H01L2224/4538Molybdenum (Mo) as principal constituent H01L2224/45381Tantalum (Ta) as principal constituent H01L2224/45383Rhenium (Re) as principal constituent H01L2224/45384Tungsten (W) as principal constituent H01L2224/45386with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45387Ceramics H01L2224/45388Glasses H01L2224/4539with a principal constituent of the material being a polymer H01L2224/45391The principal constituent being an elastomer H01L2224/45393with a principal constituent of the material being a solid not provided for in groups H01L2224/453 - H01L2224/45391 H01L2224/45394with a principal constituent of the material being a liquid not provided for in groups H01L2224/453 - H01L2224/45391 H01L2224/45395with a principal constituent of the material being a gas not provided for in groups H01L2224/453 - H01L2224/45391 H01L2224/45398with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45399Coating material H01L2224/454with a principal constituent of the material being a metal or a metalloid H01L2224/45401the principal constituent melting at a temperature of less than 400°C H01L2224/45405Gallium (Ga) as principal constituent H01L2224/45409Indium (In) as principal constituent H01L2224/45411Tin (Sn) as principal constituent H01L2224/45413Bismuth (Bi) as principal constituent H01L2224/45414Thallium (Tl) as principal constituent H01L2224/45416Lead (Pb) as principal constituent H01L2224/45417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45418Zinc (Zn) as principal constituent H01L2224/4542Antimony (Sb) as principal constituent H01L2224/45423Magnesium (Mg) as principal constituent H01L2224/45424Aluminium (Al) as principal constituent H01L2224/45438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45439Silver (Ag) as principal constituent H01L2224/45444Gold (Au) as principal constituent H01L2224/45447Copper (Cu) as principal constituent H01L2224/45449Manganese (Mn) as principal constituent H01L2224/45455Nickel (Ni) as principal constituent H01L2224/45457Cobalt (Co) as principal constituent H01L2224/4546Iron (Fe) as principal constituent H01L2224/45463the principal constituent melting at a temperature of greater than 1550°C H01L2224/45464Palladium (Pd) as principal constituent H01L2224/45466Titanium (Ti) as principal constituent H01L2224/45469Platinum (Pt) as principal constituent H01L2224/4547Zirconium (Zr) as principal constituent H01L2224/45471Chromium (Cr) as principal constituent H01L2224/45472Vanadium (V) as principal constituent H01L2224/45473Rhodium (Rh) as principal constituent H01L2224/45476Ruthenium (Ru) as principal constituent H01L2224/45478Iridium (Ir) as principal constituent H01L2224/45479Niobium (Nb) as principal constituent H01L2224/4548Molybdenum (Mo) as principal constituent H01L2224/45481Tantalum (Ta) as principal constituent H01L2224/45483Rhenium (Re) as principal constituent H01L2224/45484Tungsten (W) as principal constituent H01L2224/45486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45487Ceramics H01L2224/45488Glasses H01L2224/4549with a principal constituent of the material being a polymer H01L2224/45491The principal constituent being an elastomer H01L2224/45493with a principal constituent of the material being a solid not provided for in groups H01L2224/454 - H01L2224/45491 H01L2224/45494with a principal constituent of the material being a liquid not provided for in groups H01L2224/454 - H01L2224/45491 H01L2224/45495with a principal constituent of the material being a gas not provided for in groups H01L2224/454 - H01L2224/45491 H01L2224/45498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45499Shape or distribution of the fillers H01L2224/4554Coating H01L2224/45541Structure H01L2224/4555Shape H01L2224/4556Disposition H01L2224/45565Single coating layer H01L2224/4557Plural coating layers H01L2224/45572Two-layer stack coating H01L2224/45573Three-layer stack coating H01L2224/45574Four-layer stack coating H01L2224/45576being mutually engaged together H01L2224/45578being disposed next to each other H01L2224/45599Material H01L2224/456with a principal constituent of the material being a metal or a metalloid H01L2224/45601the principal constituent melting at a temperature of less than 400°C H01L2224/45605Gallium (Ga) as principal constituent H01L2224/45609Indium (In) as principal constituent H01L2224/45611Tin (Sn) as principal constituent H01L2224/45613Bismuth (Bi) as principal constituent H01L2224/45614Thallium (Tl) as principal constituent H01L2224/45616Lead (Pb) as principal constituent H01L2224/45617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45618Zinc (Zn) as principal constituent H01L2224/4562Antimony (Sb) as principal constituent H01L2224/45623Magnesium (Mg) as principal constituent H01L2224/45624Aluminium (Al) as principal constituent H01L2224/45638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45639Silver (Ag) as principal constituent H01L2224/45644Gold (Au) as principal constituent H01L2224/45647Copper (Cu) as principal constituent H01L2224/45649Manganese (Mn) as principal constituent H01L2224/45655Nickel (Ni) as principal constituent H01L2224/45657Cobalt (Co) as principal constituent H01L2224/4566Iron (Fe) as principal constituent H01L2224/45663the principal constituent melting at a temperature of greater than 1550°C H01L2224/45664Palladium (Pd) as principal constituent H01L2224/45666Titanium (Ti) as principal constituent H01L2224/45669Platinum (Pt) as principal constituent H01L2224/4567Zirconium (Zr) as principal constituent H01L2224/45671Chromium (Cr) as principal constituent H01L2224/45672Vanadium (V) as principal constituent H01L2224/45673Rhodium (Rh) as principal constituent H01L2224/45676Ruthenium (Ru) as principal constituent H01L2224/45678Iridium (Ir) as principal constituent H01L2224/45679Niobium (Nb) as principal constituent H01L2224/4568Molybdenum (Mo) as principal constituent H01L2224/45681Tantalum (Ta) as principal constituent H01L2224/45683Rhenium (Re) as principal constituent H01L2224/45684Tungsten (W) as principal constituent H01L2224/45686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45687Ceramics H01L2224/45688Glasses H01L2224/4569with a principal constituent of the material being a polymer H01L2224/45691The principal constituent being an elastomer H01L2224/45693with a principal constituent of the material being a solid not provided for in groups H01L2224/456 - H01L2224/45691 H01L2224/45694with a principal constituent of the material being a liquid not provided for in groups H01L2224/456 - H01L2224/45691 H01L2224/45695with a principal constituent of the material being a gas not provided for in groups H01L2224/456 - H01L2224/45691 H01L2224/45698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45699Material of the matrix H01L2224/457with a principal constituent of the material being a metal or a metalloid H01L2224/45701the principal constituent melting at a temperature of less than 400°C H01L2224/45705Gallium (Ga) as principal constituent H01L2224/45709Indium (In) as principal constituent H01L2224/45711Tin (Sn) as principal constituent H01L2224/45713Bismuth (Bi) as principal constituent H01L2224/45714Thallium (Tl) as principal constituent H01L2224/45716Lead (Pb) as principal constituent H01L2224/45717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45718Zinc (Zn) as principal constituent H01L2224/4572Antimony (Sb) as principal constituent H01L2224/45723Magnesium (Mg) as principal constituent H01L2224/45724Aluminium (Al) as principal constituent H01L2224/45738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45739Silver (Ag) as principal constituent H01L2224/45744Gold (Au) as principal constituent H01L2224/45747Copper (Cu) as principal constituent H01L2224/45749Manganese (Mn) as principal constituent H01L2224/45755Nickel (Ni) as principal constituent H01L2224/45757Cobalt (Co) as principal constituent H01L2224/4576Iron (Fe) as principal constituent H01L2224/45763the principal constituent melting at a temperature of greater than 1550°C H01L2224/45764Palladium (Pd) as principal constituent H01L2224/45766Titanium (Ti) as principal constituent H01L2224/45769Platinum (Pt) as principal constituent H01L2224/4577Zirconium (Zr) as principal constituent H01L2224/45771Chromium (Cr) as principal constituent H01L2224/45772Vanadium (V) as principal constituent H01L2224/45773Rhodium (Rh) as principal constituent H01L2224/45776Ruthenium (Ru) as principal constituent H01L2224/45778Iridium (Ir) as principal constituent H01L2224/45779Niobium (Nb) as principal constituent H01L2224/4578Molybdenum (Mo) as principal constituent H01L2224/45781Tantalum (Ta) as principal constituent H01L2224/45783Rhenium (Re) as principal constituent H01L2224/45784Tungsten (W) as principal constituent H01L2224/45786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45787Ceramics H01L2224/45788Glasses H01L2224/4579with a principal constituent of the material being a polymer H01L2224/45791The principal constituent being an elastomer H01L2224/45793with a principal constituent of the material being a solid not provided for in groups H01L2224/457 - H01L2224/45791 H01L2224/45794with a principal constituent of the material being a liquid not provided for in groups H01L2224/457 - H01L2224/45791 H01L2224/45795with a principal constituent of the material being a gas not provided for in groups H01L2224/457 - H01L2224/45791 H01L2224/45798Fillers H01L2224/45799Base material H01L2224/458with a principal constituent of the material being a metal or a metalloid H01L2224/45801the principal constituent melting at a temperature of less than 400°C H01L2224/45805Gallium (Ga) as principal constituent H01L2224/45809Indium (In) as principal constituent H01L2224/45811Tin (Sn) as principal constituent H01L2224/45813Bismuth (Bi) as principal constituent H01L2224/45814Thallium (Tl) as principal constituent H01L2224/45816Lead (Pb) as principal constituent H01L2224/45817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45818Zinc (Zn) as principal constituent H01L2224/4582Antimony (Sb) as principal constituent H01L2224/45823Magnesium (Mg) as principal constituent H01L2224/45824Aluminium (Al) as principal constituent H01L2224/45838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45839Silver (Ag) as principal constituent H01L2224/45844Gold (Au) as principal constituent H01L2224/45847Copper (Cu) as principal constituent H01L2224/45849Manganese (Mn) as principal constituent H01L2224/45855Nickel (Ni) as principal constituent H01L2224/45857Cobalt (Co) as principal constituent H01L2224/4586Iron (Fe) as principal constituent H01L2224/45863the principal constituent melting at a temperature of greater than 1550°C H01L2224/45864Palladium (Pd) as principal constituent H01L2224/45866Titanium (Ti) as principal constituent H01L2224/45869Platinum (Pt) as principal constituent H01L2224/4587Zirconium (Zr) as principal constituent H01L2224/45871Chromium (Cr) as principal constituent H01L2224/45872Vanadium (V) as principal constituent H01L2224/45873Rhodium (Rh) as principal constituent H01L2224/45876Ruthenium (Ru) as principal constituent H01L2224/45878Iridium (Ir) as principal constituent H01L2224/45879Niobium (Nb) as principal constituent H01L2224/4588Molybdenum (Mo) as principal constituent H01L2224/45881Tantalum (Ta) as principal constituent H01L2224/45883Rhenium (Re) as principal constituent H01L2224/45884Tungsten (W) as principal constituent H01L2224/45886with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45887Ceramics H01L2224/45888Glasses H01L2224/4589with a principal constituent of the material being a polymer H01L2224/45891The principal constituent being an elastomer H01L2224/45893with a principal constituent of the material being a solid not provided for in groups H01L2224/458 - H01L2224/45891 H01L2224/45894with a principal constituent of the material being a liquid not provided for in groups H01L2224/458 - H01L2224/45891 H01L2224/45895with a principal constituent of the material being a gas not provided for in groups H01L2224/458 - H01L2224/45891 H01L2224/45898with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45899Coating material H01L2224/459with a principal constituent of the material being a metal or a metalloid H01L2224/45901the principal constituent melting at a temperature of less than 400°C H01L2224/45905Gallium (Ga) as principal constituent H01L2224/45909Indium (In) as principal constituent H01L2224/45911Tin (Sn) as principal constituent H01L2224/45913Bismuth (Bi) as principal constituent H01L2224/45914Thallium (Tl) as principal constituent H01L2224/45916Lead (Pb) as principal constituent H01L2224/45917the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/45918Zinc (Zn) as principal constituent H01L2224/4592Antimony (Sb) as principal constituent H01L2224/45923Magnesium (Mg) as principal constituent H01L2224/45924Aluminium (Al) as principal constituent H01L2224/45938the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/45939Silver (Ag) as principal constituent H01L2224/45944Gold (Au) as principal constituent H01L2224/45947Copper (Cu) as principal constituent H01L2224/45949Manganese (Mn) as principal constituent H01L2224/45955Nickel (Ni) as principal constituent H01L2224/45957Cobalt (Co) as principal constituent H01L2224/4596Iron (Fe) as principal constituent H01L2224/45963the principal constituent melting at a temperature of greater than 1550°C H01L2224/45964Palladium (Pd) as principal constituent H01L2224/45966Titanium (Ti) as principal constituent H01L2224/45969Platinum (Pt) as principal constituent H01L2224/4597Zirconium (Zr) as principal constituent H01L2224/45971Chromium (Cr) as principal constituent H01L2224/45972Vanadium (V) as principal constituent H01L2224/45973Rhodium (Rh) as principal constituent H01L2224/45976Ruthenium (Ru) as principal constituent H01L2224/45978Iridium (Ir) as principal constituent H01L2224/45979Niobium (Nb) as principal constituent H01L2224/4598Molybdenum (Mo) as principal constituent H01L2224/45981Tantalum (Ta) as principal constituent H01L2224/45983Rhenium (Re) as principal constituent H01L2224/45984Tungsten (W) as principal constituent H01L2224/45986with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/45987Ceramics H01L2224/45988Glasses H01L2224/4599with a principal constituent of the material being a polymer H01L2224/45991The principal constituent being an elastomer H01L2224/45993with a principal constituent of the material being a solid not provided for in groups H01L2224/459 - H01L2224/45991 H01L2224/45994with a principal constituent of the material being a liquid not provided for in groups H01L2224/459 - H01L2224/45991 H01L2224/45995with a principal constituent of the material being a gas not provided for in groups H01L2224/459 - H01L2224/45991 H01L2224/45998with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/45999Shape or distribution of the fillers H01L2224/46of a plurality of wire connectors H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process H01L2224/48of an individual wire connector H01L2224/4801Structure H01L2224/48011Length H01L2224/4805Shape H01L2224/4807of bonding interfaces H01L2224/4809Loop shape H01L2224/48091Arched H01L2224/48092Helix H01L2224/48095Kinked H01L2224/48096the kinked part being in proximity to the bonding area on the semiconductor or solid-state body H01L2224/48097the kinked part being in proximity to the bonding area outside the semiconductor or solid-state body H01L2224/481Disposition H01L2224/48101Connecting bonding areas at the same height H01L2224/48105Connecting bonding areas at different heights H01L2224/48106the connector being orthogonal to a side surface of the semiconductor or solid-state body H01L2224/48108the connector not being orthogonal to a side surface of the semiconductor or solid-state body H01L2224/4811Connecting to a bonding area of the semiconductor or solid-state body located at the far end of the body with respect to the bonding area outside the semiconductor or solid-state body H01L2224/48111the wire connector extending above another semiconductor or solid-state body H01L2224/4813Connecting within a semiconductor or solid-state body H01L2224/48132with an intermediate bond H01L2224/48135Connecting between different semiconductor or solid-state bodies H01L2224/48137the bodies being arranged next to each other H01L2224/48138the wire connector connecting to a bonding area disposed in a recess of the surface H01L2224/48139with an intermediate bond H01L2224/4814the wire connector connecting to a bonding area protruding from the surface H01L2224/48141the bodies being arranged on opposite sides of a substrate H01L2224/48145the bodies being stacked H01L2224/48147with an intermediate bond H01L2224/48148the wire connector connecting to a bonding area disposed in a recess of the surface H01L2224/48149the wire connector connecting to a bonding area protruding from the surface H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body H01L2224/48153the body and the item being arranged next to each other H01L2224/48155the item being non-metallic H01L2224/48157connecting the wire to a bond pad of the item H01L2224/48158the bond pad being disposed in a recess of the surface of the item H01L2224/48159the bond pad protruding from the surface of the item H01L2224/4816connecting the wire to a pin of the item H01L2224/48163connecting the wire to a potential ring of the item H01L2224/48165connecting the wire to a via metallisation of the item H01L2224/48175the item being metallic H01L2224/48177connecting the wire to a bond pad of the item H01L2224/48178the bond pad being disposed in a recess of the surface of the item H01L2224/48179the bond pad protruding from the surface of the item H01L2224/48183connecting the wire to a potential ring of the item H01L2224/48195the item being a discrete passive component H01L2224/48221the body and the item being stacked H01L2224/48225the item being non-metallic H01L2224/48227connecting the wire to a bond pad of the item H01L2224/48228the bond pad being disposed in a recess of the surface of the item H01L2224/48229the bond pad protruding from the surface of the item H01L2224/4823connecting the wire to a pin of the item H01L2224/48233connecting the wire to a potential ring of the item H01L2224/48235connecting the wire to a via metallisation of the item H01L2224/48237connecting the wire to a die pad of the item H01L2224/4824Connecting between the body and an opposite side of the item with respect to the body H01L2224/48245the item being metallic H01L2224/48247connecting the wire to a bond pad of the item H01L2224/48248the bond pad being disposed in a recess of the surface of the item H01L2224/48249the bond pad protruding from the surface of the item H01L2224/48253connecting the wire to a potential ring of the item H01L2224/48257connecting the wire to a die pad of the item H01L2224/4826Connecting between the body and an opposite side of the item with respect to the body H01L2224/48265the item being a discrete passive component H01L2224/484Connecting portions H01L2224/4845Details of ball bonds H01L2224/48451Shape H01L2224/48453of the interface with the bonding area H01L2224/48455Details of wedge bonds H01L2224/48456Shape H01L2224/48458of the interface with the bonding area H01L2224/4846with multiple bonds on the same bonding area H01L2224/48463the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond H01L2224/48464the other connecting portion not on the bonding area also being a ball bond H01L2224/48465the other connecting portion not on the bonding area being a wedge bond H01L2224/4847the connecting portion on the bonding area of the semiconductor or solid-state body being a wedge bond H01L2224/48471the other connecting portion not on the bonding area being a ball bond H01L2224/48472the other connecting portion not on the bonding area also being a wedge bond H01L2224/48475connected to auxiliary connecting means on the bonding areas H01L2224/48476between the wire connector and the bonding area H01L2224/48477being a pre-ball ( H01L2224/48478the connecting portion being a wedge bond H01L2224/48479on the semiconductor or solid-state body H01L2224/4848outside the semiconductor or solid-state body H01L2224/48481the connecting portion being a ball bond H01L2224/48482on the semiconductor or solid-state body H01L2224/48483outside the semiconductor or solid-state body H01L2224/48484being a plurality of pre-balls disposed side-to-side H01L2224/48485the connecting portion being a wedge bond H01L2224/48486on the semiconductor or solid-state body H01L2224/48487outside the semiconductor or solid-state body H01L2224/48488the connecting portion being a ball bond H01L2224/48489on the semiconductor or solid-state body H01L2224/4849outside the semiconductor or solid-state body H01L2224/48491being an additional member attached to the bonding area through an adhesive or solder H01L2224/48496not being interposed between the wire connector and the bonding area H01L2224/48499Material of the auxiliary connecting means H01L2224/485Material H01L2224/48505at the bonding interface H01L2224/48506comprising an eutectic alloy H01L2224/48507comprising an intermetallic compound H01L2224/4851Morphology of the connecting portion H01L2224/48511Heat affected zone [HAZ] H01L2224/4852Bonding interface between the connecting portion and the bonding area H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au) H01L2224/486with a principal constituent of the bonding area being a metal or a metalloid H01L2224/48601the principal constituent melting at a temperature of less than 400°C H01L2224/48605Gallium (Ga) as principal constituent H01L2224/48609Indium (In) as principal constituent H01L2224/48611Tin (Sn) as principal constituent H01L2224/48613Bismuth (Bi) as principal constituent H01L2224/48614Thallium (Tl) as principal constituent H01L2224/48616Lead (Pb) as principal constituent H01L2224/48617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48618Zinc (Zn) as principal constituent H01L2224/4862Antimony (Sb) as principal constituent H01L2224/48623Magnesium (Mg) as principal constituent H01L2224/48624Aluminium (Al) as principal constituent H01L2224/48638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48639Silver (Ag) as principal constituent H01L2224/48644Gold (Au) as principal constituent H01L2224/48647Copper (Cu) as principal constituent H01L2224/48649Manganese (Mn) as principal constituent H01L2224/48655Nickel (Ni) as principal constituent H01L2224/48657Cobalt (Co) as principal constituent H01L2224/4866Iron (Fe) as principal constituent H01L2224/48663the principal constituent melting at a temperature of greater than 1550°C H01L2224/48664Palladium (Pd) as principal constituent H01L2224/48666Titanium (Ti) as principal constituent H01L2224/48669Platinum (Pt) as principal constituent H01L2224/4867Zirconium (Zr) as principal constituent H01L2224/48671Chromium (Cr) as principal constituent H01L2224/48672Vanadium (V) as principal constituent H01L2224/48673Rhodium (Rh) as principal constituent H01L2224/48678Iridium (Ir) as principal constituent H01L2224/48679Niobium (Nb) as principal constituent H01L2224/4868Molybdenum (Mo) as principal constituent H01L2224/48681Tantalum (Ta) as principal constituent H01L2224/48683Rhenium (Re) as principal constituent H01L2224/48684Tungsten (W) as principal constituent H01L2224/48686with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48687Ceramics H01L2224/48688Glasses H01L2224/4869with a principal constituent of the bonding area being a polymer H01L2224/48691The principal constituent being an elastomer H01L2224/48693with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/486 - H01L2224/4869 H01L2224/48694with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/486 - H01L2224/4869 H01L2224/48698with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material H01L2224/48699Principal constituent of the connecting portion of the wire connector being Aluminium (Al) H01L2224/487with a principal constituent of the bonding area being a metal or a metalloid H01L2224/48701the principal constituent melting at a temperature of less than 400°C H01L2224/48705Gallium (Ga) as principal constituent H01L2224/48709Indium (In) as principal constituent H01L2224/48711Tin (Sn) as principal constituent H01L2224/48713Bismuth (Bi) as principal constituent H01L2224/48714Thallium (Tl) as principal constituent H01L2224/48716Lead (Pb) as principal constituent H01L2224/48717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48718Zinc (Zn) as principal constituent H01L2224/4872Antimony (Sb) as principal constituent H01L2224/48723Magnesium (Mg) as principal constituent H01L2224/48724Aluminium (Al) as principal constituent H01L2224/48738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48739Silver (Ag) as principal constituent H01L2224/48744Gold (Au) as principal constituent H01L2224/48747Copper (Cu) as principal constituent H01L2224/48749Manganese (Mn) as principal constituent H01L2224/48755Nickel (Ni) as principal constituent H01L2224/48757Cobalt (Co) as principal constituent H01L2224/4876Iron (Fe) as principal constituent H01L2224/48763the principal constituent melting at a temperature of greater than 1550°C H01L2224/48764Palladium (Pd) as principal constituent H01L2224/48766Titanium (Ti) as principal constituent H01L2224/48769Platinum (Pt) as principal constituent H01L2224/4877Zirconium (Zr) as principal constituent H01L2224/48771Chromium (Cr) as principal constituent H01L2224/48772Vanadium (V) as principal constituent H01L2224/48773Rhodium (Rh) as principal constituent H01L2224/48778Iridium (Ir) as principal constituent H01L2224/48779Niobium (Nb) as principal constituent H01L2224/4878Molybdenum (Mo) as principal constituent H01L2224/48781Tantalum (Ta) as principal constituent H01L2224/48783Rhenium (Re) as principal constituent H01L2224/48784Tungsten (W) as principal constituent H01L2224/48786with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48787Ceramics H01L2224/48788Glasses H01L2224/4879with a principal constituent of the bonding area being a polymer H01L2224/48791The principal constituent being an elastomer H01L2224/48793with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/487 - H01L2224/4879 H01L2224/48794with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/487 - H01L2224/4879 H01L2224/48798with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu) H01L2224/488with a principal constituent of the bonding area being a metal or a metalloid H01L2224/48801the principal constituent melting at a temperature of less than 400°C H01L2224/48805Gallium (Ga) as principal constituent H01L2224/48809Indium (In) as principal constituent H01L2224/48811Tin (Sn) as principal constituent H01L2224/48813Bismuth (Bi) as principal constituent H01L2224/48814Thallium (Tl) as principal constituent H01L2224/48816Lead (Pb) as principal constituent H01L2224/48817the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C H01L2224/48818Zinc (Zn) as principal constituent H01L2224/4882Antimony (Sb) as principal constituent H01L2224/48823Magnesium (Mg) as principal constituent H01L2224/48824Aluminium (Al) as principal constituent H01L2224/48838the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/48839Silver (Ag) as principal constituent H01L2224/48844Gold (Au) as principal constituent H01L2224/48847Copper (Cu) as principal constituent H01L2224/48849Manganese (Mn) as principal constituent H01L2224/48855Nickel (Ni) as principal constituent H01L2224/48857Cobalt (Co) as principal constituent H01L2224/4886Iron (Fe) as principal constituent H01L2224/48863the principal constituent melting at a temperature of greater than 1550°C H01L2224/48864Palladium (Pd) as principal constituent H01L2224/48866Titanium (Ti) as principal constituent H01L2224/48869Platinum (Pt) as principal constituent H01L2224/4887Zirconium (Zr) as principal constituent H01L2224/48871Chromium (Cr) as principal constituent H01L2224/48872Vanadium (V) as principal constituent H01L2224/48873Rhodium (Rh) as principal constituent H01L2224/48878Iridium (Ir) as principal constituent H01L2224/48879Niobium (Nb) as principal constituent H01L2224/4888Molybdenum (Mo) as principal constituent H01L2224/48881Tantalum (Ta) as principal constituent H01L2224/48883Rhenium (Re) as principal constituent H01L2224/48884Tungsten (W) as principal constituent H01L2224/48886with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material H01L2224/48887Ceramics H01L2224/48888Glasses H01L2224/4889with a principal constituent of the bonding area being a polymer H01L2224/48891The principal constituent being an elastomer H01L2224/48893with a principal constituent of the bonding area being a solid not provided for in groups H01L2224/488 - H01L2224/4889 H01L2224/48894with a principal constituent of the bonding area being a liquid not provided for in groups H01L2224/488 - H01L2224/4889 H01L2224/48898with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material H01L2224/4899Auxiliary members for wire connectors H01L2224/48991being formed on the semiconductor or solid-state body to be connected H01L2224/48992Reinforcing structures H01L2224/48993Alignment aids H01L2224/48996being formed on an item to be connected not being a semiconductor or solid-state body H01L2224/48997Reinforcing structures H01L2224/48998Alignment aids H01L2224/49of a plurality of wire connectors H01L2224/4901Structure H01L2224/4903Connectors having different sizes H01L2224/4905Shape H01L2224/49051Connectors having different shapes H01L2224/49052Different loop heights H01L2224/4909Loop shape arrangement H01L2224/49095parallel in plane H01L2224/49096horizontal H01L2224/49097vertical H01L2224/491Disposition H01L2224/49105Connecting at different heights H01L2224/49107on the semiconductor or solid-state body H01L2224/49109outside the semiconductor or solid-state body H01L2224/4911the connectors being bonded to at least one common bonding area H01L2224/49111the connectors connecting two common bonding areas H01L2224/49112the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body H01L2224/49113the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body H01L2224/4912Layout H01L2224/4917Crossed wires H01L2224/49171Fan-out arrangements H01L2224/49173Radial fan-out arrangements H01L2224/49174Stacked arrangements H01L2224/49175Parallel arrangements H01L2224/49176Wire connectors having the same loop shape and height H01L2224/49177Combinations of different arrangements H01L2224/49179Corner adaptations H01L2224/4918being disposed on at least two different sides of the body H01L2224/494Connecting portions H01L2224/4941the connecting portions being stacked H01L2224/4942Ball bonds H01L2224/49421on the semiconductor or solid-state body H01L2224/49422outside the semiconductor or solid-state body H01L2224/49425Wedge bonds H01L2224/49426on the semiconductor or solid-state body H01L2224/49427outside the semiconductor or solid-state body H01L2224/49429Wedge and ball bonds H01L2224/4943the connecting portions being staggered H01L2224/49431on the semiconductor or solid-state body H01L2224/49433outside the semiconductor or solid-state body H01L2224/4945Wire connectors having connecting portions of different types on the semiconductor or solid-state body H01L2224/495Material H01L2224/49505Connectors having different materials H01L2224/50Tape automated bonding [TAB] connectors H01L2224/63Connectors not provided for in any of the groups H01L2224/10 - H01L2224/50 and subgroups Manufacturing methods related thereto H01L2224/64Manufacturing methods H01L2224/65Structure, shape, material or disposition of the connectors prior to the connecting process H01L2224/66of an individual connector H01L2224/67of a plurality of connectors H01L2224/68Structure, shape, material or disposition of the connectors after the connecting process H01L2224/69of an individual connector H01L2224/70of a plurality of connectors H01L2224/71Means for bonding not being attached to, or not being formed on, the surface to be connected H01L2224/72Detachable connecting means consisting of mechanical auxiliary parts connecting the device H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71 H01L2224/731Location prior to the connecting process H01L2224/73101on the same surface H01L2224/73103Bump and layer connectors H01L2224/73104the bump connector being embedded into the layer connector H01L2224/73151on different surfaces H01L2224/73153Bump and layer connectors H01L2224/732Location after the connecting process H01L2224/73201on the same surface H01L2224/73203Bump and layer connectors H01L2224/73204the bump connector being embedded into the layer connector H01L2224/73205Bump and strap connectors H01L2224/73207Bump and wire connectors H01L2224/73209Bump and HDI connectors H01L2224/73211Bump and TAB connectors H01L2224/73213Layer and strap connectors H01L2224/73215Layer and wire connectors H01L2224/73217Layer and HDI connectors H01L2224/73219Layer and TAB connectors H01L2224/73221Strap and wire connectors H01L2224/73223Strap and HDI connectors H01L2224/73225Strap and TAB connectors H01L2224/73227Wire and HDI connectors H01L2224/73229Wire and TAB connectors H01L2224/73231HDI and TAB connectors H01L2224/73251on different surfaces H01L2224/73253Bump and layer connectors H01L2224/73255Bump and strap connectors H01L2224/73257Bump and wire connectors H01L2224/73259Bump and HDI connectors H01L2224/73261Bump and TAB connectors H01L2224/73263Layer and strap connectors H01L2224/73265Layer and wire connectors H01L2224/73267Layer and HDI connectors H01L2224/73269Layer and TAB connectors H01L2224/73271Strap and wire connectors H01L2224/73273Strap and HDI connectors H01L2224/73275Strap and TAB connectors H01L2224/73277Wire and HDI connectors H01L2224/73279Wire and TAB connectors H01L2224/73281HDI and TAB connectors H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto H01L2224/741Apparatus for manufacturing means for bonding H01L2224/742Apparatus for manufacturing bump connectors H01L2224/743Apparatus for manufacturing layer connectors H01L2224/744Apparatus for manufacturing strap connectors H01L2224/745Apparatus for manufacturing wire connectors H01L2224/749Tools for reworking H01L2224/75Apparatus for connecting with bump connectors or layer connectors H01L2224/75001Calibration means H01L2224/7501Means for cleaning H01L2224/751Means for controlling the bonding environment H01L2224/75101Chamber H01L2224/75102Vacuum chamber H01L2224/7511High pressure chamber H01L2224/7515Means for applying permanent coating H01L2224/75151Means for direct writing H01L2224/75152Syringe H01L2224/75153integrated into the bonding head H01L2224/75155Jetting means H01L2224/75158including a laser H01L2224/75161Means for screen printing H01L2224/7517Means for applying a preform H01L2224/75171including a vacuum-bag H01L2224/7518Means for blanket deposition H01L2224/75181for spin coating H01L2224/75182for curtain coating H01L2224/75183for immersion coating H01L2224/75184for spray coating H01L2224/75185Means for physical vapour deposition [PVD] H01L2224/75186Means for sputtering H01L2224/75187Means for evaporation H01L2224/75188Means for chemical vapour deposition [CVD] H01L2224/75189Means for plating H01L2224/752Protection means against electrical discharge H01L2224/7525Means for applying energy H01L2224/75251in the lower part of the bonding apparatus H01L2224/75252in the upper part of the bonding apparatus H01L2224/75253adapted for localised heating H01L2224/7526Polychromatic heating lamp H01L2224/75261Laser H01L2224/75262in the lower part of the bonding apparatus H01L2224/75263in the upper part of the bonding apparatus H01L2224/75264by induction heating H01L2224/75265in the lower part of the bonding apparatus H01L2224/75266in the upper part of the bonding apparatus H01L2224/75267Flame torch H01L2224/75268Discharge electrode H01L2224/75269Shape of the discharge electrode H01L2224/7527Material of the discharge electrode H01L2224/75271Circuitry of the discharge electrode H01L2224/75272Oven H01L2224/7528Resistance welding electrodes H01L2224/75281in the lower part of the bonding apparatus H01L2224/75282in the upper part of the bonding apparatus H01L2224/75283by infrared heating H01L2224/753by means of pressure H01L2224/75301Bonding head H01L2224/75302Shape H01L2224/75303of the pressing surface H01L2224/75304being curved H01L2224/75305comprising protrusions H01L2224/7531of other parts H01L2224/75312Material H01L2224/75313Removable bonding head H01L2224/75314Auxiliary members on the pressing surface H01L2224/75315Elastomer inlay H01L2224/75316with retaining mechanisms H01L2224/75317Removable auxiliary member H01L2224/75318Shape of the auxiliary member H01L2224/7532Material of the auxiliary member H01L2224/75343by ultrasonic vibrations H01L2224/75344Eccentric cams H01L2224/75345in the lower part of the bonding apparatus H01L2224/75346in the upper part of the bonding apparatus H01L2224/75347Piezoelectric transducers H01L2224/75348in the lower part of the bonding apparatus H01L2224/75349in the upper part of the bonding apparatus H01L2224/7535Stable and mobile yokes H01L2224/75351in the lower part of the bonding apparatus H01L2224/75352in the upper part of the bonding apparatus H01L2224/75353Ultrasonic horns H01L2224/75354in the lower part of the bonding apparatus H01L2224/75355Design H01L2224/755Cooling means H01L2224/75501in the lower part of the bonding apparatus H01L2224/75502in the upper part of the bonding apparatus H01L2224/7555Mechanical means H01L2224/756Means for supplying the connector to be connected in the bonding apparatus H01L2224/75601Storing means H01L2224/75611Feeding means H01L2224/75621Holding means H01L2224/7565Means for transporting the components to be connected H01L2224/75651Belt conveyor H01L2224/75652Chain conveyor H01L2224/75653Vibrating conveyor H01L2224/75654Pneumatic conveyor H01L2224/75655in a fluid H01L2224/757Means for aligning H01L2224/75701in the lower part of the bonding apparatus H01L2224/75702in the upper part of the bonding apparatus H01L2224/75703Mechanical holding means H01L2224/75704in the lower part of the bonding apparatus H01L2224/75705in the upper part of the bonding apparatus H01L2224/75723Electrostatic holding means H01L2224/75724in the lower part of the bonding apparatus H01L2224/75725in the upper part of the bonding apparatus H01L2224/75733Magnetic holding means H01L2224/75734in the lower part of the bonding apparatus H01L2224/75735in the upper part of the bonding apparatus H01L2224/75743Suction holding means H01L2224/75744in the lower part of the bonding apparatus H01L2224/75745in the upper part of the bonding apparatus H01L2224/75753Means for optical alignment H01L2224/75754Guiding structures H01L2224/75755in the lower part of the bonding apparatus H01L2224/75756in the upper part of the bonding apparatus H01L2224/758Means for moving parts H01L2224/75801Lower part of the bonding apparatus H01L2224/75802Rotational mechanism H01L2224/75803Pivoting mechanism H01L2224/75804Translational mechanism H01L2224/75821Upper part of the bonding apparatus H01L2224/75822Rotational mechanism H01L2224/75823Pivoting mechanism H01L2224/75824Translational mechanism H01L2224/75841of the bonding head H01L2224/75842Rotational mechanism H01L2224/75843Pivoting mechanism H01L2224/759Means for monitoring the connection process H01L2224/75901using a computer H01L2224/7592Load or pressure adjusting means H01L2224/75925Vibration adjusting means H01L2224/7595Means for forming additional members H01L2224/7598specially adapted for batch processes H01L2224/75981Apparatus chuck H01L2224/75982Shape H01L2224/75983of the mounting surface H01L2224/75984of other portions H01L2224/75985Material H01L2224/75986Auxiliary members on the pressing surface H01L2224/75987Shape of the auxiliary member H01L2224/75988Material of the auxiliary member H01L2224/76Apparatus for connecting with build-up interconnects H01L2224/76001Calibration means H01L2224/7601Means for cleaning H01L2224/761Means for controlling the bonding environment H01L2224/76101Chamber H01L2224/76102Vacuum chamber H01L2224/7611High pressure chamber H01L2224/7615Means for depositing H01L2224/76151Means for direct writing H01L2224/76152Syringe H01L2224/76155Jetting means H01L2224/76158including a laser H01L2224/76161Means for screen printing H01L2224/7617Means for applying a preform H01L2224/76171including a vacuum-bag H01L2224/7618Means for blanket deposition H01L2224/76181for spin coating H01L2224/76182for curtain coating H01L2224/76183for immersion coating H01L2224/76184for spray coating H01L2224/76185Means for physical vapour deposition [PVD] H01L2224/76186Means for sputtering H01L2224/76187Means for evaporation H01L2224/76188Means for chemical vapour deposition [CVD] H01L2224/76189Means for plating H01L2224/762Protection means against electrical discharge H01L2224/7625Means for applying energy H01L2224/76251in the lower part of the bonding apparatus H01L2224/76252in the upper part of the bonding apparatus H01L2224/76253adapted for localised heating H01L2224/7626Polychromatic heating lamp H01L2224/76261Laser H01L2224/76262in the lower part of the bonding apparatus H01L2224/76263in the upper part of the bonding apparatus H01L2224/76264by induction heating H01L2224/76265in the lower part of the bonding apparatus H01L2224/76266in the upper part of the bonding apparatus H01L2224/76267Flame torch H01L2224/76268Discharge electrode H01L2224/76269Shape of the discharge electrode H01L2224/7627Material of the discharge electrode H01L2224/76271Circuitry of the discharge electrode H01L2224/76272Oven H01L2224/7628Resistance welding electrodes H01L2224/76281in the lower part of the bonding apparatus H01L2224/76282in the upper part of the bonding apparatus H01L2224/76283by infrared heating H01L2224/763by means of pressure H01L2224/76301Pressing head H01L2224/76302Shape H01L2224/76303of the pressing surface H01L2224/76304being curved H01L2224/76305comprising protrusions H01L2224/7631of other parts H01L2224/76312Material H01L2224/76313Removable pressing head H01L2224/76314Auxiliary members on the pressing surface H01L2224/76315Elastomer inlay H01L2224/76316with retaining mechanisms H01L2224/76317Removable auxiliary member H01L2224/76318Shape of the auxiliary member H01L2224/7632Material of the auxiliary member H01L2224/76343by ultrasonic vibrations H01L2224/76344Eccentric cams H01L2224/76345in the lower part of the bonding apparatus H01L2224/76346in the upper part of the bonding apparatus H01L2224/76347Piezoelectric transducers H01L2224/76348in the lower part of the bonding apparatus H01L2224/76349in the upper part of the bonding apparatus H01L2224/7635Stable and mobile yokes H01L2224/76351in the lower part of the bonding apparatus H01L2224/76352in the upper part of the bonding apparatus H01L2224/76353Ultrasonic horns H01L2224/76354in the lower part of the bonding apparatus H01L2224/76355Design H01L2224/765Cooling means H01L2224/76501in the lower part of the bonding apparatus H01L2224/76502in the upper part of the bonding apparatus H01L2224/7655Mechanical means H01L2224/76552for drilling H01L2224/76554for abrasive blasting H01L2224/766Means for supplying the material of the interconnect H01L2224/76601Storing means H01L2224/76611Feeding means H01L2224/76621Holding means H01L2224/7665Means for transporting the components to be connected H01L2224/76651Belt conveyor H01L2224/76652Chain conveyor H01L2224/76653Vibrating conveyor H01L2224/76654Pneumatic conveyor H01L2224/76655in a fluid H01L2224/767Means for aligning H01L2224/76701in the lower part of the bonding apparatus H01L2224/76702in the upper part of the bonding apparatus H01L2224/76703Mechanical holding means H01L2224/76704in the lower part of the bonding apparatus H01L2224/76705in the upper part of the bonding apparatus H01L2224/76723Electrostatic holding means H01L2224/76724in the lower part of the bonding apparatus H01L2224/76725in the upper part of the bonding apparatus H01L2224/76733Magnetic holding means H01L2224/76734in the lower part of the bonding apparatus H01L2224/76735in the upper part of the bonding apparatus H01L2224/76743Suction holding means H01L2224/76744in the lower part of the bonding apparatus H01L2224/76745in the upper part of the bonding apparatus H01L2224/76753Means for optical alignment H01L2224/76754Guiding structures H01L2224/76755in the lower part of the bonding apparatus H01L2224/76756in the upper part of the bonding apparatus H01L2224/768Means for moving parts H01L2224/76801Lower part of the bonding apparatus H01L2224/76802Rotational mechanism H01L2224/76803Pivoting mechanism H01L2224/76804Translational mechanism H01L2224/76821Upper part of the bonding apparatus H01L2224/76822Rotational mechanism H01L2224/76823Pivoting mechanism H01L2224/76824Translational mechanism H01L2224/76841of the bonding head H01L2224/76842Rotational mechanism H01L2224/76843Pivoting mechanism H01L2224/769Means for monitoring the connection process H01L2224/76901using a computer H01L2224/7692Load or pressure adjusting means H01L2224/76925Vibration adjusting means H01L2224/7695Means for forming additional members H01L2224/7698specially adapted for batch processes H01L2224/76981Apparatus chuck H01L2224/76982Shape H01L2224/76983of the mounting surface H01L2224/76984of other portions H01L2224/76985Material H01L2224/76986Auxiliary members on the pressing surface H01L2224/76987Shape of the auxiliary member H01L2224/76988Material of the auxiliary member H01L2224/77Apparatus for connecting with strap connectors H01L2224/77001Calibration means H01L2224/7701Means for cleaning H01L2224/771Means for controlling the bonding environment H01L2224/77101Chamber H01L2224/77102Vacuum chamber H01L2224/7711High pressure chamber H01L2224/7715Means for applying permanent coating H01L2224/77151Means for direct writing H01L2224/77152Syringe H01L2224/77153integrated into the capillary or wedge H01L2224/77155Jetting means H01L2224/77158including a laser H01L2224/77161Means for screen printing H01L2224/7717Means for applying a preform H01L2224/77171including a vacuum-bag H01L2224/7718Means for blanket deposition H01L2224/77181for spin coating H01L2224/77182for curtain coating H01L2224/77183for immersion coating H01L2224/77184for spray coating H01L2224/77185Means for physical vapour deposition [PVD] H01L2224/77186Means for sputtering H01L2224/77187Means for evaporation H01L2224/77188Means for chemical vapour deposition [CVD] H01L2224/77189Means for plating H01L2224/772Protection means against electrical discharge H01L2224/7725Means for applying energy H01L2224/77251in the lower part of the bonding apparatus H01L2224/77252in the upper part of the bonding apparatus H01L2224/77253adapted for localised heating H01L2224/7726Polychromatic heating lamp H01L2224/77261Laser H01L2224/77262in the lower part of the bonding apparatus H01L2224/77263in the upper part of the bonding apparatus H01L2224/77264by induction heating H01L2224/77265in the lower part of the bonding apparatus H01L2224/77266in the upper part of the bonding apparatus H01L2224/77267Flame torch H01L2224/77268Discharge electrode H01L2224/77269Shape of the discharge electrode H01L2224/7727Material of the discharge electrode H01L2224/77271Circuitry of the discharge electrode H01L2224/77272Oven H01L2224/7728Resistance welding electrodes H01L2224/77281in the lower part of the bonding apparatus H01L2224/77282in the upper part of the bonding apparatus H01L2224/77283by infrared heating H01L2224/773by means of pressure H01L2224/77313Wedge H01L2224/77314Shape H01L2224/77315of the pressing surface H01L2224/77316comprising protrusions H01L2224/77317of other portions H01L2224/77318inside the capillary H01L2224/77319outside the capillary H01L2224/7732Removable wedge H01L2224/77321Material H01L2224/77325Auxiliary members on the pressing surface H01L2224/77326Removable auxiliary member H01L2224/77327Shape of the auxiliary member H01L2224/77328Material of the auxiliary member H01L2224/77343by ultrasonic vibrations H01L2224/77344Eccentric cams H01L2224/77345in the lower part of the bonding apparatus H01L2224/77346in the upper part of the bonding apparatus H01L2224/77347Piezoelectric transducers H01L2224/77348in the lower part of the bonding apparatus H01L2224/77349in the upper part of the bonding apparatus H01L2224/7735Stable and mobile yokes H01L2224/77351in the lower part of the bonding apparatus H01L2224/77352in the upper part of the bonding apparatus H01L2224/77353Ultrasonic horns H01L2224/77354in the lower part of the bonding apparatus H01L2224/77355Design H01L2224/775Cooling means H01L2224/77501in the lower part of the bonding apparatus H01L2224/77502in the upper part of the bonding apparatus H01L2224/7755Mechanical means H01L2224/776Means for supplying the connector to be connected in the bonding apparatus H01L2224/77601Storing means H01L2224/77611Feeding means H01L2224/77621Holding means H01L2224/77631Means for wire tension adjustments H01L2224/7765Means for transporting the components to be connected H01L2224/77651Belt conveyor H01L2224/77652Chain conveyor H01L2224/77653Vibrating conveyor H01L2224/77654Pneumatic conveyor H01L2224/77655in a fluid H01L2224/777Means for aligning H01L2224/77701in the lower part of the bonding apparatus H01L2224/77702in the upper part of the bonding apparatus H01L2224/77703Mechanical holding means H01L2224/77704in the lower part of the bonding apparatus H01L2224/77705in the upper part of the bonding apparatus H01L2224/77723Electrostatic holding means H01L2224/77724in the lower part of the bonding apparatus H01L2224/77725in the upper part of the bonding apparatus H01L2224/77733Magnetic holding means H01L2224/77734in the lower part of the bonding apparatus H01L2224/77735in the upper part of the bonding apparatus H01L2224/77743Suction holding means H01L2224/77744in the lower part of the bonding apparatus H01L2224/77745in the upper part of the bonding apparatus H01L2224/77753Means for optical alignment H01L2224/77754Guiding structures H01L2224/77755in the lower part of the bonding apparatus H01L2224/77756in the upper part of the bonding apparatus H01L2224/778Means for moving parts H01L2224/77801Lower part of the bonding apparatus H01L2224/77802Rotational mechanism H01L2224/77803Pivoting mechanism H01L2224/77804Translational mechanism H01L2224/77821Upper part of the bonding apparatus, i.e. bonding head H01L2224/77822Rotational mechanism H01L2224/77823Pivoting mechanism H01L2224/77824Translational mechanism H01L2224/77841of the pressing portion H01L2224/77842Rotational mechanism H01L2224/77843Pivoting mechanism H01L2224/779Means for monitoring the connection process H01L2224/77901using a computer H01L2224/7792Load or pressure adjusting means H01L2224/77925Vibration adjusting means H01L2224/7795Means for forming additional members H01L2224/7798specially adapted for batch processes H01L2224/77981Apparatus chuck H01L2224/77982Shape H01L2224/77983of the mounting surface H01L2224/77984of other portions H01L2224/77985Material H01L2224/77986Auxiliary members on the pressing surface H01L2224/77987Shape of the auxiliary member H01L2224/77988Material of the auxiliary member H01L2224/78Apparatus for connecting with wire connectors H01L2224/78001Calibration means H01L2224/7801Means for cleaning H01L2224/781Means for controlling the bonding environment H01L2224/78101Chamber H01L2224/78102Vacuum chamber H01L2224/7811High pressure chamber H01L2224/7815Means for applying permanent coating H01L2224/782Protection means against electrical discharge H01L2224/7825Means for applying energy H01L2224/78251in the lower part of the bonding apparatus H01L2224/78252in the upper part of the bonding apparatus H01L2224/78253adapted for localised heating H01L2224/7826Polychromatic heating lamp H01L2224/78261Laser H01L2224/78262in the lower part of the bonding apparatus H01L2224/78263in the upper part of the bonding apparatus H01L2224/78264by induction heating H01L2224/78265in the lower part of the bonding apparatus H01L2224/78266in the upper part of the bonding apparatus H01L2224/78267Flame torch H01L2224/78268Discharge electrode H01L2224/78269Shape of the discharge electrode H01L2224/7827Material of the discharge electrode H01L2224/78271Circuitry of the discharge electrode H01L2224/78272Oven H01L2224/7828Resistance welding electrodes H01L2224/78281in the lower part of the bonding apparatus H01L2224/78282in the upper part of the bonding apparatus H01L2224/78283by infrared heating H01L2224/783by means of pressure H01L2224/78301Capillary H01L2224/78302Shape H01L2224/78303of the pressing surface H01L2224/78304comprising protrusions H01L2224/78305of other portions H01L2224/78306inside the capillary H01L2224/78307outside the capillary H01L2224/78308Removable capillary H01L2224/78309Material H01L2224/7831Auxiliary members on the pressing surface H01L2224/78311Removable auxiliary member H01L2224/78312Shape of the auxiliary member H01L2224/78313Wedge H01L2224/78314Shape H01L2224/78315of the pressing surface H01L2224/78316comprising protrusions H01L2224/78317of other portions H01L2224/78318inside the capillary H01L2224/78319outside the capillary H01L2224/7832Removable wedge H01L2224/78321Material H01L2224/78325Auxiliary members on the pressing surface H01L2224/78326Removable auxiliary member H01L2224/78327Shape of the auxiliary member H01L2224/78328Material of the auxiliary member H01L2224/78343by ultrasonic vibrations H01L2224/78344Eccentric cams H01L2224/78345in the lower part of the bonding apparatus H01L2224/78346in the upper part of the bonding apparatus H01L2224/78347Piezoelectric transducers H01L2224/78348in the lower part of the bonding apparatus H01L2224/78349in the upper part of the bonding apparatus H01L2224/7835Stable and mobile yokes H01L2224/78351in the lower part of the bonding apparatus H01L2224/78352in the upper part of the bonding apparatus H01L2224/78353Ultrasonic horns H01L2224/78354in the lower part of the bonding apparatus H01L2224/78355Design H01L2224/785Cooling means H01L2224/78501in the lower part of the bonding apparatus H01L2224/78502in the upper part of the bonding apparatus H01L2224/7855Mechanical means H01L2224/786Means for supplying the connector to be connected in the bonding apparatus H01L2224/78601Storing means H01L2224/78611Feeding means H01L2224/78621Holding means H01L2224/78631Means for wire tension adjustments H01L2224/7865Means for transporting the components to be connected H01L2224/78651Belt conveyor H01L2224/78652Chain conveyor H01L2224/78653Vibrating conveyor H01L2224/78654Pneumatic conveyor H01L2224/78655in a fluid H01L2224/787Means for aligning H01L2224/78701in the lower part of the bonding apparatus H01L2224/78702in the upper part of the bonding apparatus H01L2224/78703Mechanical holding means H01L2224/78704in the lower part of the bonding apparatus H01L2224/78705in the upper part of the bonding apparatus H01L2224/78723Electrostatic holding means H01L2224/78724in the lower part of the bonding apparatus H01L2224/78725in the upper part of the bonding apparatus H01L2224/78733Magnetic holding means H01L2224/78734in the lower part of the bonding apparatus H01L2224/78735in the upper part of the bonding apparatus H01L2224/78743Suction holding means H01L2224/78744in the lower part of the bonding apparatus H01L2224/78745in the upper part of the bonding apparatus H01L2224/78753Means for optical alignment H01L2224/78754Guiding structures H01L2224/78755in the lower part of the bonding apparatus H01L2224/78756in the upper part of the bonding apparatus H01L2224/788Means for moving parts H01L2224/78801Lower part of the bonding apparatus H01L2224/78802Rotational mechanism H01L2224/78803Pivoting mechanism H01L2224/78804Translational mechanism H01L2224/78821Upper part of the bonding apparatus, i.e. bonding head H01L2224/78822Rotational mechanism H01L2224/78823Pivoting mechanism H01L2224/78824Translational mechanism H01L2224/78841of the pressing portion H01L2224/78842Rotational mechanism H01L2224/78843Pivoting mechanism H01L2224/789Means for monitoring the connection process H01L2224/78901using a computer H01L2224/7892Load or pressure adjusting means H01L2224/78925Vibration adjusting means H01L2224/7895Means for forming additional members H01L2224/7898specially adapted for batch processes H01L2224/78981Apparatus chuck H01L2224/78982Shape H01L2224/78983of the mounting surface H01L2224/78984of other portions H01L2224/78985Material H01L2224/78986Auxiliary members on the pressing surface H01L2224/78987Shape of the auxiliary member H01L2224/78988Material of the auxiliary member H01L2224/79Apparatus for Tape Automated Bonding [TAB] H01L2224/79001Calibration means H01L2224/7901Means for cleaning H01L2224/791Means for controlling the bonding environment H01L2224/79101Chamber H01L2224/79102Vacuum chamber H01L2224/7911High pressure chamber H01L2224/7915Means for applying permanent coating H01L2224/79151Means for direct writing H01L2224/79152Syringe H01L2224/79153integrated into the pressing head H01L2224/79155Jetting means H01L2224/79158including a laser H01L2224/79161Means for screen printing H01L2224/7917Means for applying a preform H01L2224/79171including a vacuum-bag H01L2224/7918Means for blanket deposition H01L2224/79181for spin coating H01L2224/79182for curtain coating H01L2224/79183for immersion coating H01L2224/79184for spray coating H01L2224/79185Means for physical vapour deposition [PVD] H01L2224/79186Means for sputtering H01L2224/79187Means for evaporation H01L2224/79188Means for chemical vapour deposition [CVD] H01L2224/79189Means for plating H01L2224/792Protection means against electrical discharge H01L2224/7925Means for applying energy H01L2224/79251in the lower part of the bonding apparatus H01L2224/79252in the upper part of the bonding apparatus H01L2224/79253adapted for localised heating H01L2224/7926Polychromatic heating lamp H01L2224/79261Laser H01L2224/79262in the lower part of the bonding apparatus H01L2224/79263in the upper part of the bonding apparatus H01L2224/79264by induction heating H01L2224/79265in the lower part of the bonding apparatus H01L2224/79266in the upper part of the bonding apparatus H01L2224/79267Flame torch H01L2224/79268Discharge electrode H01L2224/79269Shape of the discharge electrode H01L2224/7927Material of the discharge electrode H01L2224/79271Circuitry of the discharge electrode H01L2224/79272Oven H01L2224/7928Resistance welding electrodes H01L2224/79281in the lower part of the bonding apparatus H01L2224/79282in the upper part of the bonding apparatus H01L2224/79283by infrared heating H01L2224/793by means of pressure H01L2224/79301Pressing head H01L2224/79302Shape H01L2224/79303of the pressing surface H01L2224/79304being curved H01L2224/79305comprising protrusions H01L2224/7931of other parts H01L2224/79312Material H01L2224/79313Removable pressing head H01L2224/79314Auxiliary members on the pressing surface H01L2224/79315Elastomer inlay H01L2224/79316with retaining mechanisms H01L2224/79317Removable auxiliary member H01L2224/79318Shape of the auxiliary member H01L2224/7932Material of the auxiliary member H01L2224/79343by ultrasonic vibrations H01L2224/79344Eccentric cams H01L2224/79345in the lower part of the bonding apparatus H01L2224/79346in the upper part of the bonding apparatus H01L2224/79347Piezoelectric transducers H01L2224/79348in the lower part of the bonding apparatus H01L2224/79349in the upper part of the bonding apparatus H01L2224/7935Stable and mobile yokes H01L2224/79351in the lower part of the bonding apparatus H01L2224/79352in the upper part of the bonding apparatus H01L2224/79353Ultrasonic horns H01L2224/79354in the lower part of the bonding apparatus H01L2224/79355Design H01L2224/795Cooling means H01L2224/79501in the lower part of the bonding apparatus H01L2224/79502in the upper part of the bonding apparatus H01L2224/7955Mechanical means H01L2224/796Means for supplying the connector to be connected in the bonding apparatus H01L2224/79601Storing means H01L2224/79611Feeding means H01L2224/79621Holding means H01L2224/7965Means for transporting the components to be connected H01L2224/79651Belt conveyor H01L2224/79652Chain conveyor H01L2224/79653Vibrating conveyor H01L2224/79654Pneumatic conveyor H01L2224/79655in a fluid H01L2224/797Means for aligning H01L2224/79701in the lower part of the bonding apparatus H01L2224/79702in the upper part of the bonding apparatus H01L2224/79703Mechanical holding means H01L2224/79704in the lower part of the bonding apparatus H01L2224/79705in the upper part of the bonding apparatus H01L2224/79723Electrostatic holding means H01L2224/79724in the lower part of the bonding apparatus H01L2224/79725in the upper part of the bonding apparatus H01L2224/79733Magnetic holding means H01L2224/79734in the lower part of the bonding apparatus H01L2224/79735in the upper part of the bonding apparatus H01L2224/79743Suction holding means H01L2224/79744in the lower part of the bonding apparatus H01L2224/79745in the upper part of the bonding apparatus H01L2224/79753Means for optical alignment H01L2224/79754Guiding structures H01L2224/79755in the lower part of the bonding apparatus H01L2224/79756in the upper part of the bonding apparatus H01L2224/798Means for moving parts H01L2224/79801Lower part of the bonding apparatus H01L2224/79802Rotational mechanism H01L2224/79803Pivoting mechanism H01L2224/79804Translational mechanism H01L2224/79821Upper part of the bonding apparatus H01L2224/79822Rotational mechanism H01L2224/79823Pivoting mechanism H01L2224/79824Translational mechanism H01L2224/79841of the pressing head H01L2224/79842Rotational mechanism H01L2224/79843Pivoting mechanism H01L2224/799Means for monitoring the connection process H01L2224/79901using a computer H01L2224/7992Load or pressure adjusting means H01L2224/79925Vibration adjusting means H01L2224/7995Means for forming additional members H01L2224/7998specially adapted for batch processes H01L2224/79981Apparatus chuck H01L2224/79982Shape H01L2224/79983of the mounting surface H01L2224/79984of other portions H01L2224/79985Material H01L2224/79986Auxiliary members on the pressing surface H01L2224/79987Shape of the auxiliary member H01L2224/79988Material of the auxiliary member H01L2224/7999for disconnecting H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected H01L2224/80001by connecting a bonding area directly to another bonding area, i.e. connectorless bonding H01L2224/80003involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/80004being a removable or sacrificial coating H01L2224/80006being a temporary or sacrificial substrate H01L2224/80007involving a permanent auxiliary member being left in the finished device H01L2224/80009Pre-treatment of the bonding area H01L2224/8001Cleaning the bonding area H01L2224/80011Chemical cleaning H01L2224/80012Mechanical cleaning H01L2224/80013Plasma cleaning H01L2224/80014Thermal cleaning H01L2224/80019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8001 - H01L2224/80014 H01L2224/8002Applying permanent coating to the bonding area in the bonding apparatus H01L2224/80024Applying flux to the bonding area in the bonding apparatus H01L2224/8003Reshaping the bonding area in the bonding apparatus H01L2224/80031by chemical means H01L2224/80035by heating means H01L2224/80037using a polychromatic heating lamp H01L2224/80039using a laser H01L2224/80041Induction heating H01L2224/80047by mechanical means H01L2224/80048Thermal treatments H01L2224/80051Forming additional members H01L2224/80052Detaching bonding areas H01L2224/80053Bonding environment H01L2224/80054Composition of the atmosphere H01L2224/80055being oxidating H01L2224/80065being reducing H01L2224/80075being inert H01L2224/80085being a liquid H01L2224/8009Vacuum H01L2224/80091Under pressure H01L2224/80092Atmospheric pressure H01L2224/80093Transient conditions H01L2224/80095Temperature settings H01L2224/80096Transient conditions H01L2224/80097Heating H01L2224/80098Cooling H01L2224/80099Ambient temperature H01L2224/8011involving protection against electrical discharge H01L2224/8012Aligning H01L2224/80121Active alignment, i.e. by apparatus steering H01L2224/80122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/80123Shape or position of the body H01L2224/80125Bonding areas on the body H01L2224/80127Bonding areas outside the body H01L2224/80129Shape or position of the other item H01L2224/8013using marks formed on the semiconductor or solid-state body H01L2224/80132using marks formed outside the semiconductor or solid-state body H01L2224/80136involving guiding structures H01L2224/80138the guiding structures being at least partially left in the finished device H01L2224/80139Guiding structures on the body H01L2224/8014Guiding structures outside the body H01L2224/80141Guiding structures both on and outside the body H01L2224/80143Passive alignment, i.e. self alignment H01L2224/80148involving movement of a part of the bonding apparatus H01L2224/80149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8015Rotational movements H01L2224/8016Translational movements H01L2224/80169being the upper part of the bonding apparatus H01L2224/8017Rotational movements H01L2224/8018Translational movements H01L2224/8019Arrangement of the bonding areas prior to mounting H01L2224/80194Lateral distribution of the bonding areas H01L2224/802Applying energy for connecting H01L2224/80201Compression bonding H01L2224/80203Thermocompression bonding H01L2224/80204with a graded temperature profile H01L2224/80205Ultrasonic bonding H01L2224/80206Direction of oscillation H01L2224/80207Thermosonic bonding H01L2224/80209applying unidirectional static pressure H01L2224/80211applying isostatic pressure H01L2224/80213using a reflow oven H01L2224/80215with a graded temperature profile H01L2224/8022with energy being in the form of electromagnetic radiation H01L2224/80222Induction heating H01L2224/80224using a laser H01L2224/8023Polychromatic or infrared lamp heating H01L2224/80232using an autocatalytic reaction H01L2224/80234using means for applying energy being within the device H01L2224/80236using electro-static corona discharge H01L2224/80237using an electron beam H01L2224/80238using electric resistance welding H01L2224/8034Bonding interfaces of the bonding area H01L2224/80345Shape H01L2224/80355having an external coating H01L2224/80357being flush with the surface H01L2224/80359Material H01L2224/8036Bonding interfaces of the semiconductor or solid state body H01L2224/80365Shape H01L2224/80375having an external coating H01L2224/80379Material H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body H01L2224/80385Shape H01L2224/80395having an external coating H01L2224/80399Material H01L2224/804with a principal constituent of the material being a metal or a metalloid H01L2224/80401the principal constituent melting at a temperature of less than 400°C H01L2224/80405Gallium [Ga] as principal constituent H01L2224/80409Indium [In] as principal constituent H01L2224/80411Tin [Sn] as principal constituent H01L2224/80413Bismuth [Bi] as principal constituent H01L2224/80414Thallium [Tl] as principal constituent H01L2224/80416Lead [Pb] as principal constituent H01L2224/80417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80418Zinc [Zn] as principal constituent H01L2224/8042Antimony [Sb] as principal constituent H01L2224/80423Magnesium [Mg] as principal constituent H01L2224/80424Aluminium [Al] as principal constituent H01L2224/80438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80439Silver [Ag] as principal constituent H01L2224/80444Gold [Au] as principal constituent H01L2224/80447Copper [Cu] as principal constituent H01L2224/80449Manganese [Mn] as principal constituent H01L2224/80455Nickel [Ni] as principal constituent H01L2224/80457Cobalt [Co] as principal constituent H01L2224/8046Iron [Fe] as principal constituent H01L2224/80463the principal constituent melting at a temperature of greater than 1550°C H01L2224/80464Palladium [Pd] as principal constituent H01L2224/80466Titanium [Ti] as principal constituent H01L2224/80469Platinum [Pt] as principal constituent H01L2224/8047Zirconium [Zr] as principal constituent H01L2224/80471Chromium [Cr] as principal constituent H01L2224/80472Vanadium [V] as principal constituent H01L2224/80473Rhodium [Rh] as principal constituent H01L2224/80476Ruthenium [Ru] as principal constituent H01L2224/80478Iridium [Ir] as principal constituent H01L2224/80479Niobium [Nb] as principal constituent H01L2224/8048Molybdenum [Mo] as principal constituent H01L2224/80481Tantalum [Ta] as principal constituent H01L2224/80483Rhenium [Re] as principal constituent H01L2224/80484Tungsten [W] as principal constituent H01L2224/80486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80487Ceramics H01L2224/80488Glasses H01L2224/8049with a principal constituent of the material being a polymer H01L2224/80491The principal constituent being an elastomer H01L2224/80493with a principal constituent of the material being a solid not provided for in groups H01L2224/804 - H01L2224/80491 H01L2224/80494with a principal constituent of the material being a liquid not provided for in groups H01L2224/804 - H01L2224/80491 H01L2224/80495with a principal constituent of the material being a gas not provided for in groups H01L2224/804 - H01L2224/80491 H01L2224/80498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/80499Material of the matrix H01L2224/805with a principal constituent of the material being a metal or a metalloid H01L2224/80501the principal constituent melting at a temperature of less than 400°C H01L2224/80505Gallium [Ga] as principal constituent H01L2224/80509Indium [In] as principal constituent H01L2224/80511Tin [Sn] as principal constituent H01L2224/80513Bismuth [Bi] as principal constituent H01L2224/80514Thallium [Tl] as principal constituent H01L2224/80516Lead [Pb] as principal constituent H01L2224/80517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80518Zinc [Zn] as principal constituent H01L2224/8052Antimony [Sb] as principal constituent H01L2224/80523Magnesium [Mg] as principal constituent H01L2224/80524Aluminium [Al] as principal constituent H01L2224/80538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80539Silver [Ag] as principal constituent H01L2224/80544Gold [Au] as principal constituent H01L2224/80547Copper [Cu] as principal constituent H01L2224/80549Manganese [Mn] as principal constituent H01L2224/80555Nickel [Ni] as principal constituent H01L2224/80557Cobalt [Co] as principal constituent H01L2224/8056Iron [Fe] as principal constituent H01L2224/80563the principal constituent melting at a temperature of greater than 1550°C H01L2224/80564Palladium [Pd] as principal constituent H01L2224/80566Titanium [Ti] as principal constituent H01L2224/80569Platinum [Pt] as principal constituent H01L2224/8057Zirconium [Zr] as principal constituent H01L2224/80571Chromium [Cr] as principal constituent H01L2224/80572Vanadium [V] as principal constituent H01L2224/80573Rhodium [Rh] as principal constituent H01L2224/80576Ruthenium [Ru] as principal constituent H01L2224/80578Iridium [Ir] as principal constituent H01L2224/80579Niobium [Nb] as principal constituent H01L2224/8058Molybdenum [Mo] as principal constituent H01L2224/80581Tantalum [Ta] as principal constituent H01L2224/80583Rhenium [Re] as principal constituent H01L2224/80584Tungsten [W] as principal constituent H01L2224/80586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80587Ceramics H01L2224/80588Glasses H01L2224/8059with a principal constituent of the material being a polymer H01L2224/80591The principal constituent being an elastomer H01L2224/80593with a principal constituent of the material being a solid not provided for in groups H01L2224/805 - H01L2224/80591 H01L2224/80594with a principal constituent of the material being a liquid not provided for in groups H01L2224/805 - H01L2224/80591 H01L2224/80595with a principal constituent of the material being a gas not provided for in groups H01L2224/805 - H01L2224/80591 H01L2224/80598Fillers H01L2224/80599Base material H01L2224/806with a principal constituent of the material being a metal or a metalloid H01L2224/80601the principal constituent melting at a temperature of less than 400°C H01L2224/80605Gallium [Ga] as principal constituent H01L2224/80609Indium [In] as principal constituent H01L2224/80611Tin [Sn] as principal constituent H01L2224/80613Bismuth [Bi] as principal constituent H01L2224/80614Thallium [Tl] as principal constituent H01L2224/80616Lead [Pb] as principal constituent H01L2224/80617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80618Zinc [Zn] as principal constituent H01L2224/8062Antimony [Sb] as principal constituent H01L2224/80623Magnesium [Mg] as principal constituent H01L2224/80624Aluminium [Al] as principal constituent H01L2224/80638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80639Silver [Ag] as principal constituent H01L2224/80644Gold [Au] as principal constituent H01L2224/80647Copper [Cu] as principal constituent H01L2224/80649Manganese [Mn] as principal constituent H01L2224/80655Nickel [Ni] as principal constituent H01L2224/80657Cobalt [Co] as principal constituent H01L2224/8066Iron [Fe] as principal constituent H01L2224/80663the principal constituent melting at a temperature of greater than 1550°C H01L2224/80664Palladium [Pd] as principal constituent H01L2224/80666Titanium [Ti] as principal constituent H01L2224/80669Platinum [Pt] as principal constituent H01L2224/8067Zirconium [Zr] as principal constituent H01L2224/80671Chromium [Cr] as principal constituent H01L2224/80672Vanadium [V] as principal constituent H01L2224/80673Rhodium [Rh] as principal constituent H01L2224/80676Ruthenium [Ru] as principal constituent H01L2224/80678Iridium [Ir] as principal constituent H01L2224/80679Niobium [Nb] as principal constituent H01L2224/8068Molybdenum [Mo] as principal constituent H01L2224/80681Tantalum [Ta] as principal constituent H01L2224/80683Rhenium [Re] as principal constituent H01L2224/80684Tungsten [W] as principal constituent H01L2224/80686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80687Ceramics H01L2224/80688Glasses H01L2224/8069with a principal constituent of the material being a polymer H01L2224/80691The principal constituent being an elastomer H01L2224/80693with a principal constituent of the material being a solid not provided for in groups H01L2224/806 - H01L2224/80691 H01L2224/80694with a principal constituent of the material being a liquid not provided for in groups H01L2224/806 - H01L2224/80691 H01L2224/80695with a principal constituent of the material being a gas not provided for in groups H01L2224/806 - H01L2224/80691 H01L2224/80698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/80699Coating material H01L2224/807with a principal constituent of the material being a metal or a metalloid H01L2224/80701the principal constituent melting at a temperature of less than 400°C H01L2224/80705Gallium [Ga] as principal constituent H01L2224/80709Indium [In] as principal constituent H01L2224/80711Tin [Sn] as principal constituent H01L2224/80713Bismuth [Bi] as principal constituent H01L2224/80714Thallium [Tl] as principal constituent H01L2224/80716Lead [Pb] as principal constituent H01L2224/80717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/80718Zinc [Zn] as principal constituent H01L2224/8072Antimony [Sb] as principal constituent H01L2224/80723Magnesium [Mg] as principal constituent H01L2224/80724Aluminium [Al] as principal constituent H01L2224/80738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/80739Silver [Ag] as principal constituent H01L2224/80744Gold [Au] as principal constituent H01L2224/80747Copper [Cu] as principal constituent H01L2224/80749Manganese [Mn] as principal constituent H01L2224/80755Nickel [Ni] as principal constituent H01L2224/80757Cobalt [Co] as principal constituent H01L2224/8076Iron [Fe] as principal constituent H01L2224/80763the principal constituent melting at a temperature of greater than 1550°C H01L2224/80764Palladium [Pd] as principal constituent H01L2224/80766Titanium [Ti] as principal constituent H01L2224/80769Platinum [Pt] as principal constituent H01L2224/8077Zirconium [Zr] as principal constituent H01L2224/80771Chromium [Cr] as principal constituent H01L2224/80772Vanadium [V] as principal constituent H01L2224/80773Rhodium [Rh] as principal constituent H01L2224/80776Ruthenium [Ru] as principal constituent H01L2224/80778Iridium [Ir] as principal constituent H01L2224/80779Niobium [Nb] as principal constituent H01L2224/8078Molybdenum [Mo] as principal constituent H01L2224/80781Tantalum [Ta] as principal constituent H01L2224/80783Rhenium [Re] as principal constituent H01L2224/80784Tungsten [W] as principal constituent H01L2224/80786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/80787Ceramics H01L2224/80788Glasses H01L2224/8079with a principal constituent of the material being a polymer H01L2224/80791The principal constituent being an elastomer H01L2224/80793with a principal constituent of the material being a solid not provided for in groups H01L2224/807 - H01L2224/80791 H01L2224/80794with a principal constituent of the material being a liquid not provided for in groups H01L2224/807 - H01L2224/80791 H01L2224/80795with a principal constituent of the material being a gas not provided for in groups H01L2224/807 - H01L2224/80791 H01L2224/80798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/80799Shape or distribution of the fillers H01L2224/808Bonding techniques H01L2224/80801Soldering or alloying H01L2224/80805involving forming a eutectic alloy at the bonding interface H01L2224/8081involving forming an intermetallic compound at the bonding interface H01L2224/80815Reflow soldering H01L2224/8082Diffusion bonding H01L2224/80825Solid-liquid interdiffusion H01L2224/8083Solid-solid interdiffusion H01L2224/8084Sintering H01L2224/8085using a polymer adhesive H01L2224/80855Hardening the adhesive by curing H01L2224/80856Pre-cured adhesive H01L2224/80859Localised curing of parts of the bonding area H01L2224/80862Heat curing H01L2224/80865Microwave curing H01L2224/80868Infrared [IR] curing H01L2224/80871Visible light curing H01L2224/80874Ultraviolet [UV] curing H01L2224/80877Moisture curing, i.e. curing by exposing to humidity H01L2224/8088Hardening the adhesive by cooling H01L2224/80885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/80855 - H01L2224/8088 H01L2224/8089using an inorganic non metallic glass type adhesive H01L2224/80893Anodic bonding H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/80895between electrically conductive surfaces H01L2224/80896between electrically insulating surfaces H01L2224/80897Mechanical interlocking H01L2224/80898Press-fitting, i.e. pushing the parts together and fastening by friction H01L2224/80899using resilient parts in the bonding area H01L2224/809with the bonding area not providing any mechanical bonding H01L2224/80901Pressing a bonding area against another bonding area by means of a further bonding area or connector H01L2224/80902by means of a further bonding area H01L2224/80903by means of a bump or layer connector H01L2224/80904by means of an encapsulation layer or foil H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904 H01L2224/80906Specific sequence of method steps H01L2224/80907Intermediate bonding H01L2224/80908involving monitoring H01L2224/80909Post-treatment of the bonding area H01L2224/8091Cleaning H01L2224/80911Chemical cleaning H01L2224/80912Mechanical cleaning H01L2224/80913Plasma cleaning H01L2224/80914Thermal cleaning H01L2224/80919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8091 - H01L2224/80914 H01L2224/8092Applying permanent coating H01L2224/8093Reshaping H01L2224/80931by chemical means H01L2224/80935by heating means H01L2224/80937using a polychromatic heating lamp H01L2224/80939using a laser H01L2224/80941Induction heating H01L2224/80943using a flame torch H01L2224/80945using a corona discharge H01L2224/80947by mechanical means H01L2224/80948Thermal treatments H01L2224/80951Forming additional members H01L2224/80986Specific sequence of steps H01L2224/81using a bump connector H01L2224/81001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/81002being a removable or sacrificial coating H01L2224/81005being a temporary or sacrificial substrate H01L2224/81007involving a permanent auxiliary member being left in the finished device H01L2224/81009Pre-treatment of the bump connector or the bonding area H01L2224/8101Cleaning the bump connector H01L2224/81011Chemical cleaning H01L2224/81012Mechanical cleaning H01L2224/81013Plasma cleaning H01L2224/81014Thermal cleaning H01L2224/81019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8101 - H01L2224/81014 H01L2224/8102Applying permanent coating to the bump connector in the bonding apparatus H01L2224/81022Cleaning the bonding area H01L2224/81024Applying flux to the bonding area H01L2224/81026Applying a precursor material to the bonding area H01L2224/8103Reshaping the bump connector in the bonding apparatus H01L2224/81031by chemical means H01L2224/81035by heating means H01L2224/81037using a polychromatic heating lamp H01L2224/81039using a laser H01L2224/81041Induction heating H01L2224/81047by mechanical means H01L2224/81048Thermal treatments H01L2224/81051Forming additional members H01L2224/81052Detaching bump connectors H01L2224/81053Bonding environment H01L2224/81054Composition of the atmosphere H01L2224/81055being oxidating H01L2224/81065being reducing H01L2224/81075being inert H01L2224/81085being a liquid H01L2224/8109Vacuum H01L2224/81091Under pressure H01L2224/81092Atmospheric pressure H01L2224/81093Transient conditions H01L2224/81095Temperature settings H01L2224/81096Transient conditions H01L2224/81097Heating H01L2224/81098Cooling H01L2224/81099Ambient temperature H01L2224/811the bump connector being supplied to the parts to be connected in the bonding apparatus H01L2224/81101as prepeg comprising a bump connector H01L2224/8111involving protection against electrical discharge H01L2224/8112Aligning H01L2224/81121Active alignment, i.e. by apparatus steering H01L2224/81122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/81123Shape or position of the body H01L2224/81125Bonding areas on the body H01L2224/81127Bonding areas outside the body H01L2224/81129Shape or position of the other item H01L2224/8113using marks formed on the semiconductor or solid-state body H01L2224/81132using marks formed outside the semiconductor or solid-state body H01L2224/81136involving guiding structures H01L2224/81138the guiding structures being at least partially left in the finished device H01L2224/81139Guiding structures on the body H01L2224/8114Guiding structures outside the body H01L2224/81141Guiding structures both on and outside the body H01L2224/81143Passive alignment, i.e. self alignment H01L2224/81148involving movement of a part of the bonding apparatus H01L2224/81149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8115Rotational movements H01L2224/8116Translational movements H01L2224/81169being the upper part of the bonding apparatus H01L2224/8117Rotational movements H01L2224/8118Translational movements H01L2224/8119Arrangement of the bump connectors prior to mounting H01L2224/81191wherein the bump connectors are disposed only on the semiconductor or solid-state body H01L2224/81192wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body H01L2224/81193wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body H01L2224/81194Lateral distribution of the bump connectors H01L2224/812Applying energy for connecting H01L2224/81201Compression bonding H01L2224/81203Thermocompression bonding H01L2224/81204with a graded temperature profile H01L2224/81205Ultrasonic bonding H01L2224/81206Direction of oscillation H01L2224/81207Thermosonic bonding H01L2224/81208applying unidirectional static pressure H01L2224/81209applying isostatic pressure H01L2224/8121using a reflow oven H01L2224/81211with a graded temperature profile H01L2224/8122with energy being in the form of electromagnetic radiation H01L2224/81222Induction heating H01L2224/81224using a laser H01L2224/8123Polychromatic or infrared lamp heating H01L2224/81232using an autocatalytic reaction H01L2224/81234using means for applying energy being within the device H01L2224/81236using electro-static corona discharge H01L2224/81237using an electron beam H01L2224/81238using electric resistance welding H01L2224/8134Bonding interfaces of the bump connector H01L2224/81345Shape H01L2224/81355having an external coating H01L2224/81359Material H01L2224/8136Bonding interfaces of the semiconductor or solid state body H01L2224/81365Shape H01L2224/81375having an external coating H01L2224/81379Material H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body H01L2224/81385Shape H01L2224/81395having an external coating H01L2224/81399Material H01L2224/814with a principal constituent of the material being a metal or a metalloid H01L2224/81401the principal constituent melting at a temperature of less than 400°C H01L2224/81405Gallium [Ga] as principal constituent H01L2224/81409Indium [In] as principal constituent H01L2224/81411Tin [Sn] as principal constituent H01L2224/81413Bismuth [Bi] as principal constituent H01L2224/81414Thallium [Tl] as principal constituent H01L2224/81416Lead [Pb] as principal constituent H01L2224/81417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81418Zinc [Zn] as principal constituent H01L2224/8142Antimony [Sb] as principal constituent H01L2224/81423Magnesium [Mg] as principal constituent H01L2224/81424Aluminium [Al] as principal constituent H01L2224/81438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81439Silver [Ag] as principal constituent H01L2224/81444Gold [Au] as principal constituent H01L2224/81447Copper [Cu] as principal constituent H01L2224/81449Manganese [Mn] as principal constituent H01L2224/81455Nickel [Ni] as principal constituent H01L2224/81457Cobalt [Co] as principal constituent H01L2224/8146Iron [Fe] as principal constituent H01L2224/81463the principal constituent melting at a temperature of greater than 1550°C H01L2224/81464Palladium [Pd] as principal constituent H01L2224/81466Titanium [Ti] as principal constituent H01L2224/81469Platinum [Pt] as principal constituent H01L2224/8147Zirconium [Zr] as principal constituent H01L2224/81471Chromium [Cr] as principal constituent H01L2224/81472Vanadium [V] as principal constituent H01L2224/81473Rhodium [Rh] as principal constituent H01L2224/81476Ruthenium [Ru] as principal constituent H01L2224/81478Iridium [Ir] as principal constituent H01L2224/81479Niobium [Nb] as principal constituent H01L2224/8148Molybdenum [Mo] as principal constituent H01L2224/81481Tantalum [Ta] as principal constituent H01L2224/81483Rhenium [Re] as principal constituent H01L2224/81484Tungsten [W] as principal constituent H01L2224/81486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81487Ceramics H01L2224/81488Glasses H01L2224/8149with a principal constituent of the material being a polymer H01L2224/81491The principal constituent being an elastomer H01L2224/81493with a principal constituent of the material being a solid not provided for in groups H01L2224/814 - H01L2224/81491 H01L2224/81494with a principal constituent of the material being a liquid not provided for in groups H01L2224/814 - H01L2224/81491 H01L2224/81495with a principal constituent of the material being a gas not provided for in groups H01L2224/814 - H01L2224/81491 H01L2224/81498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/81499Material of the matrix H01L2224/815with a principal constituent of the material being a metal or a metalloid H01L2224/81501the principal constituent melting at a temperature of less than 400°C H01L2224/81505Gallium [Ga] as principal constituent H01L2224/81509Indium [In] as principal constituent H01L2224/81511Tin [Sn] as principal constituent H01L2224/81513Bismuth [Bi] as principal constituent H01L2224/81514Thallium [Tl] as principal constituent H01L2224/81516Lead [Pb] as principal constituent H01L2224/81517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81518Zinc [Zn] as principal constituent H01L2224/8152Antimony [Sb] as principal constituent H01L2224/81523Magnesium [Mg] as principal constituent H01L2224/81524Aluminium [Al] as principal constituent H01L2224/81538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81539Silver [Ag] as principal constituent H01L2224/81544Gold [Au] as principal constituent H01L2224/81547Copper [Cu] as principal constituent H01L2224/81549Manganese [Mn] as principal constituent H01L2224/81555Nickel [Ni] as principal constituent H01L2224/81557Cobalt [Co] as principal constituent H01L2224/8156Iron [Fe] as principal constituent H01L2224/81563the principal constituent melting at a temperature of greater than 1550°C H01L2224/81564Palladium [Pd] as principal constituent H01L2224/81566Titanium [Ti] as principal constituent H01L2224/81569Platinum [Pt] as principal constituent H01L2224/8157Zirconium [Zr] as principal constituent H01L2224/81571Chromium [Cr] as principal constituent H01L2224/81572Vanadium [V] as principal constituent H01L2224/81573Rhodium [Rh] as principal constituent H01L2224/81576Ruthenium [Ru] as principal constituent H01L2224/81578Iridium [Ir] as principal constituent H01L2224/81579Niobium [Nb] as principal constituent H01L2224/8158Molybdenum [Mo] as principal constituent H01L2224/81581Tantalum [Ta] as principal constituent H01L2224/81583Rhenium [Re] as principal constituent H01L2224/81584Tungsten [W] as principal constituent H01L2224/81586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81587Ceramics H01L2224/81588Glasses H01L2224/8159with a principal constituent of the material being a polymer H01L2224/81591The principal constituent being an elastomer H01L2224/81593with a principal constituent of the material being a solid not provided for in groups H01L2224/815 - H01L2224/81591 H01L2224/81594with a principal constituent of the material being a liquid not provided for in groups H01L2224/815 - H01L2224/81591 H01L2224/81595with a principal constituent of the material being a gas not provided for in groups H01L2224/815 - H01L2224/81591 H01L2224/81598Fillers H01L2224/81599Base material H01L2224/816with a principal constituent of the material being a metal or a metalloid H01L2224/81601the principal constituent melting at a temperature of less than 400°C H01L2224/81605Gallium [Ga] as principal constituent H01L2224/81609Indium [In] as principal constituent H01L2224/81611Tin [Sn] as principal constituent H01L2224/81613Bismuth [Bi] as principal constituent H01L2224/81614Thallium [Tl] as principal constituent H01L2224/81616Lead [Pb] as principal constituent H01L2224/81617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81618Zinc [Zn] as principal constituent H01L2224/8162Antimony [Sb] as principal constituent H01L2224/81623Magnesium [Mg] as principal constituent H01L2224/81624Aluminium [Al] as principal constituent H01L2224/81638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81639Silver [Ag] as principal constituent H01L2224/81644Gold [Au] as principal constituent H01L2224/81647Copper [Cu] as principal constituent H01L2224/81649Manganese [Mn] as principal constituent H01L2224/81655Nickel [Ni] as principal constituent H01L2224/81657Cobalt [Co] as principal constituent H01L2224/8166Iron [Fe] as principal constituent H01L2224/81663the principal constituent melting at a temperature of greater than 1550°C H01L2224/81664Palladium [Pd] as principal constituent H01L2224/81666Titanium [Ti] as principal constituent H01L2224/81669Platinum [Pt] as principal constituent H01L2224/8167Zirconium [Zr] as principal constituent H01L2224/81671Chromium [Cr] as principal constituent H01L2224/81672Vanadium [V] as principal constituent H01L2224/81673Rhodium [Rh] as principal constituent H01L2224/81676Ruthenium [Ru] as principal constituent H01L2224/81678Iridium [Ir] as principal constituent H01L2224/81679Niobium [Nb] as principal constituent H01L2224/8168Molybdenum [Mo] as principal constituent H01L2224/81681Tantalum [Ta] as principal constituent H01L2224/81683Rhenium [Re] as principal constituent H01L2224/81684Tungsten [W] as principal constituent H01L2224/81686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81687Ceramics H01L2224/81688Glasses H01L2224/8169with a principal constituent of the material being a polymer H01L2224/81691The principal constituent being an elastomer H01L2224/81693with a principal constituent of the material being a solid not provided for in groups H01L2224/816 - H01L2224/81691 H01L2224/81694with a principal constituent of the material being a liquid not provided for in groups H01L2224/816 - H01L2224/81691 H01L2224/81695with a principal constituent of the material being a gas not provided for in groups H01L2224/816 - H01L2224/81691 H01L2224/81698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/81699Coating material H01L2224/817with a principal constituent of the material being a metal or a metalloid H01L2224/81701the principal constituent melting at a temperature of less than 400°C H01L2224/81705Gallium [Ga] as principal constituent H01L2224/81709Indium [In] as principal constituent H01L2224/81711Tin [Sn] as principal constituent H01L2224/81713Bismuth [Bi] as principal constituent H01L2224/81714Thallium [Tl] as principal constituent H01L2224/81716Lead [Pb] as principal constituent H01L2224/81717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/81718Zinc [Zn] as principal constituent H01L2224/8172Antimony [Sb] as principal constituent H01L2224/81723Magnesium [Mg] as principal constituent H01L2224/81724Aluminium [Al] as principal constituent H01L2224/81738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/81739Silver [Ag] as principal constituent H01L2224/81744Gold [Au] as principal constituent H01L2224/81747Copper [Cu] as principal constituent H01L2224/81749Manganese [Mn] as principal constituent H01L2224/81755Nickel [Ni] as principal constituent H01L2224/81757Cobalt [Co] as principal constituent H01L2224/8176Iron [Fe] as principal constituent H01L2224/81763the principal constituent melting at a temperature of greater than 1550°C H01L2224/81764Palladium [Pd] as principal constituent H01L2224/81766Titanium [Ti] as principal constituent H01L2224/81769Platinum [Pt] as principal constituent H01L2224/8177Zirconium [Zr] as principal constituent H01L2224/81771Chromium [Cr] as principal constituent H01L2224/81772Vanadium [V] as principal constituent H01L2224/81773Rhodium [Rh] as principal constituent H01L2224/81776Ruthenium [Ru] as principal constituent H01L2224/81778Iridium [Ir] as principal constituent H01L2224/81779Niobium [Nb] as principal constituent H01L2224/8178Molybdenum [Mo] as principal constituent H01L2224/81781Tantalum [Ta] as principal constituent H01L2224/81783Rhenium [Re] as principal constituent H01L2224/81784Tungsten [W] as principal constituent H01L2224/81786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/81787Ceramics H01L2224/81788Glasses H01L2224/8179with a principal constituent of the material being a polymer H01L2224/81791The principal constituent being an elastomer H01L2224/81793with a principal constituent of the material being a solid not provided for in groups H01L2224/817 - H01L2224/81791 H01L2224/81794with a principal constituent of the material being a liquid not provided for in groups H01L2224/817 - H01L2224/81791 H01L2224/81795with a principal constituent of the material being a gas not provided for in groups H01L2224/817 - H01L2224/81791 H01L2224/81798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/81799Shape or distribution of the fillers H01L2224/818Bonding techniques H01L2224/81801Soldering or alloying H01L2224/81805involving forming a eutectic alloy at the bonding interface H01L2224/8181involving forming an intermetallic compound at the bonding interface H01L2224/81815Reflow soldering H01L2224/8182Diffusion bonding H01L2224/81825Solid-liquid interdiffusion H01L2224/8183Solid-solid interdiffusion H01L2224/8184Sintering H01L2224/8185using a polymer adhesive H01L2224/81855Hardening the adhesive by curing H01L2224/81856Pre-cured adhesive H01L2224/81859Localised curing of parts of the bump connector H01L2224/81862Heat curing H01L2224/81865Microwave curing H01L2224/81868Infrared [IR] curing H01L2224/81871Visible light curing H01L2224/81874Ultraviolet [UV] curing H01L2224/81877Moisture curing, i.e. curing by exposing to humidity H01L2224/8188Hardening the adhesive by cooling H01L2224/81885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/81855 - H01L2224/8188 H01L2224/8189using an inorganic non metallic glass type adhesive H01L2224/81893Anodic bonding H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/81895between electrically conductive surfaces H01L2224/81896between electrically insulating surfaces H01L2224/81897Mechanical interlocking H01L2224/81898Press-fitting, i.e. pushing the parts together and fastening by friction H01L2224/81899using resilient parts in the bump connector or in the bonding area H01L2224/819with the bump connector not providing any mechanical bonding H01L2224/81901Pressing the bump connector against the bonding areas by means of another connector H01L2224/81902by means of another bump connector H01L2224/81903by means of a layer connector H01L2224/81904by means of an encapsulation layer or foil H01L2224/81905Combinations of bonding methods provided for in at least two different groups from H01L2224/818 - H01L2224/81904 H01L2224/81906Specific sequence of method steps H01L2224/81907Intermediate bonding H01L2224/81908involving monitoring H01L2224/81909Post-treatment of the bump connector or bonding area H01L2224/8191Cleaning H01L2224/81911Chemical cleaning H01L2224/81912Mechanical cleaning H01L2224/81913Plasma cleaning H01L2224/81914Thermal cleaning H01L2224/81919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8191 - H01L2224/81914 H01L2224/8192Applying permanent coating H01L2224/8193Reshaping H01L2224/81931by chemical means H01L2224/81935by heating means H01L2224/81937using a polychromatic heating lamp H01L2224/81939using a laser H01L2224/81941Induction heating H01L2224/81943using a flame torch H01L2224/81945using a corona discharge H01L2224/81947by mechanical means H01L2224/81948Thermal treatments H01L2224/81951Forming additional members H01L2224/81986Specific sequence of steps H01L2224/82by forming build-up interconnects at chip-level H01L2224/82001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/82002being a removable or sacrificial coating H01L2224/82005being a temporary or sacrificial substrate H01L2224/82007involving a permanent auxiliary member being left in the finished device H01L2224/82009Pre-treatment of the connector or the bonding area H01L2224/8201Cleaning H01L2224/8203Reshaping H01L2224/82031by chemical means H01L2224/82035by heating means H01L2224/82039using a laser H01L2224/82045using a corona discharge H01L2224/82047by mechanical means H01L2224/82048Thermal treatments H01L2224/82051Forming additional members H01L2224/82053Bonding environment H01L2224/82054Composition of the atmosphere H01L2224/82085being a liquid H01L2224/8209Vacuum H01L2224/82091Under pressure H01L2224/82095Temperature settings H01L2224/82096Transient conditions H01L2224/82097Heating H01L2224/82098Cooling H01L2224/82099Ambient temperature H01L2224/821Forming a build-up interconnect H01L2224/82101by additive methods H01L2224/82102using jetting H01L2224/82103using laser direct writing H01L2224/82104using screen printing H01L2224/82105by using a preform H01L2224/82106by subtractive methods H01L2224/82108by self-assembly processes H01L2224/8211involving protection against electrical discharge H01L2224/8212Aligning H01L2224/82121Active alignment, i.e. by apparatus steering H01L2224/82122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/8213using marks formed on the semiconductor or solid-state body H01L2224/82132using marks formed outside the semiconductor or solid-state body H01L2224/82136involving guiding structures H01L2224/82138the guiding structures being at least partially left in the finished device H01L2224/82143Passive alignment, i.e. self alignment H01L2224/82148involving movement of a part of the bonding apparatus H01L2224/82149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8215Rotational movements H01L2224/8216Translational movements H01L2224/82169being the upper part of the bonding apparatus H01L2224/8217Rotational movement H01L2224/8218Translational movements H01L2224/82181connecting first on the semiconductor or solid-state body H01L2224/82186connecting first outside the semiconductor or solid-state body H01L2224/82191connecting first both on and outside the semiconductor or solid-state body H01L2224/822Applying energy for connecting H01L2224/82201Compression bonding H01L2224/82203Thermocompression bonding H01L2224/82205Ultrasonic bonding H01L2224/82207Thermosonic bonding H01L2224/8221with energy being in the form of electromagnetic radiation H01L2224/82212Induction heating H01L2224/82214using a laser H01L2224/8223Polychromatic or infrared lamp heating H01L2224/82232using an autocatalytic reaction H01L2224/82234using means for applying energy being within the device H01L2224/82236using electro-static corona discharge H01L2224/82237using electron beam H01L2224/82238using electric resistance welding H01L2224/8234Bonding interfaces of the connector H01L2224/82345Shape H01L2224/82355having an external coating H01L2224/82359Material H01L2224/8236Bonding interfaces of the semiconductor or solid state body H01L2224/82365Shape H01L2224/82375having an external coating H01L2224/82379Material H01L2224/8238Bonding interfaces outside the semiconductor or solid-state body H01L2224/82385Shape H01L2224/82395having an external coating H01L2224/82399Material H01L2224/828Bonding techniques H01L2224/82801Soldering or alloying H01L2224/82805involving forming a eutectic alloy at the bonding interface H01L2224/8281involving forming an intermetallic compound at the bonding interface H01L2224/82815Reflow soldering H01L2224/8282Diffusion bonding H01L2224/82825Solid-liquid interdiffusion H01L2224/8283Solid-solid interdiffusion H01L2224/8284Sintering H01L2224/8285using a polymer adhesive H01L2224/82855Hardening the adhesive by curing H01L2224/82856Pre-cured adhesive H01L2224/82859Localised curing of parts of the connector H01L2224/82862Heat curing H01L2224/82865Microwave curing H01L2224/82868Infrared [IR] curing H01L2224/82871Visible light curing H01L2224/82874Ultraviolet [UV] curing H01L2224/82877Moisture curing, i.e. curing by exposing to humidity H01L2224/8288Hardening the adhesive by cooling H01L2224/82885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/82855 - H01L2224/8288 H01L2224/8289using an inorganic non metallic glass type adhesive H01L2224/82893Anodic bonding H01L2224/82895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/82896between electrically conductive surfaces H01L2224/82897between electrically insulating surfaces H01L2224/82899Combinations of bonding methods provided for in at least two different groups from H01L2224/828 - H01L2224/82897 H01L2224/829involving monitoring H01L2224/82909Post-treatment of the connector or the bonding area H01L2224/8291Cleaning H01L2224/8293Reshaping H01L2224/82931by chemical means H01L2224/82935by heating means H01L2224/82939using a laser H01L2224/82945using a corona discharge H01L2224/82947by mechanical means H01L2224/82948Thermal treatments H01L2224/82951Forming additional members H01L2224/82986Specific sequence of steps H01L2224/83using a layer connector H01L2224/83001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/83002being a removable or sacrificial coating H01L2224/83005being a temporary or sacrificial substrate H01L2224/83007involving a permanent auxiliary member being left in the finished device H01L2224/83009Pre-treatment of the layer connector or the bonding area H01L2224/8301Cleaning the layer connector H01L2224/83011Chemical cleaning H01L2224/83012Mechanical cleaning H01L2224/83013Plasma cleaning H01L2224/83014Thermal cleaning H01L2224/83019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8301 - H01L2224/83014 H01L2224/8302Applying permanent coating to the layer connector in the bonding apparatus H01L2224/83022Cleaning the bonding area H01L2224/83024Applying flux to the bonding area H01L2224/83026Applying a precursor material to the bonding area H01L2224/8303Reshaping the layer connector in the bonding apparatus H01L2224/83031by chemical means H01L2224/83035by heating means H01L2224/83037using a polychromatic heating lamp H01L2224/83039using a laser H01L2224/83041Induction heating H01L2224/83047by mechanical means H01L2224/83048Thermal treatments H01L2224/83051Forming additional members H01L2224/83052Detaching layer connectors H01L2224/83053Bonding environment H01L2224/83054Composition of the atmosphere H01L2224/83055being oxidating H01L2224/83065being reducing H01L2224/83075being inert H01L2224/83085being a liquid H01L2224/8309Vacuum H01L2224/83091Under pressure H01L2224/83092Atmospheric pressure H01L2224/83093Transient conditions H01L2224/83095Temperature settings H01L2224/83096Transient conditions H01L2224/83097Heating H01L2224/83098Cooling H01L2224/83099Ambient temperature H01L2224/831the layer connector being supplied to the parts to be connected in the bonding apparatus H01L2224/83101as prepeg comprising a layer connector H01L2224/83102using surface energy H01L2224/83104by applying pressure H01L2224/8311involving protection against electrical discharge H01L2224/8312Aligning H01L2224/83121Active alignment, i.e. by apparatus steering H01L2224/83122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/83123Shape or position of the body H01L2224/83125Bonding areas on the body H01L2224/83127Bonding areas outside the body H01L2224/83129Shape or position of the other item H01L2224/8313using marks formed on the semiconductor or solid-state body H01L2224/83132using marks formed outside the semiconductor or solid-state body H01L2224/83136involving guiding structures H01L2224/83138the guiding structures being at least partially left in the finished device H01L2224/83139Guiding structures on the body H01L2224/8314Guiding structures outside the body H01L2224/83141Guiding structures both on and outside the body H01L2224/83143Passive alignment, i.e. self alignment H01L2224/83148involving movement of a part of the bonding apparatus H01L2224/83149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8315Rotational movements H01L2224/8316Translational movements H01L2224/83169being the upper part of the bonding apparatus H01L2224/8317Rotational movements H01L2224/8318Translational movements H01L2224/8319Arrangement of the layer connectors prior to mounting H01L2224/83191wherein the layer connectors are disposed only on the semiconductor or solid-state body H01L2224/83192wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body H01L2224/83193wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body H01L2224/83194Lateral distribution of the layer connectors H01L2224/832Applying energy for connecting H01L2224/83201Compression bonding H01L2224/83203Thermocompression bonding H01L2224/83204with a graded temperature profile H01L2224/83205Ultrasonic bonding H01L2224/83206Direction of oscillation H01L2224/83207Thermosonic bonding H01L2224/83208applying unidirectional static pressure H01L2224/83209applying isostatic pressure H01L2224/8321using a reflow oven H01L2224/83211with a graded temperature profile H01L2224/8322with energy being in the form of electromagnetic radiation H01L2224/83222Induction heating H01L2224/83224using a laser H01L2224/8323Polychromatic or infrared lamp heating H01L2224/83232using an autocatalytic reaction H01L2224/83234using means for applying energy being within the device H01L2224/83236using electro-static corona discharge H01L2224/83237using an electron beam H01L2224/83238using electric resistance welding H01L2224/8334Bonding interfaces of the layer connector H01L2224/83345Shape H01L2224/83355having an external coating H01L2224/83359Material H01L2224/8336Bonding interfaces of the semiconductor or solid state body H01L2224/83365Shape H01L2224/83375having an external coating H01L2224/83379Material H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body H01L2224/83385Shape H01L2224/83395having an external coating H01L2224/83399Material H01L2224/834with a principal constituent of the material being a metal or a metalloid H01L2224/83401the principal constituent melting at a temperature of less than 400°C H01L2224/83405Gallium [Ga] as principal constituent H01L2224/83409Indium [In] as principal constituent H01L2224/83411Tin [Sn] as principal constituent H01L2224/83413Bismuth [Bi] as principal constituent H01L2224/83414Thallium [Tl] as principal constituent H01L2224/83416Lead [Pb] as principal constituent H01L2224/83417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83418Zinc [Zn] as principal constituent H01L2224/8342Antimony [Sb] as principal constituent H01L2224/83423Magnesium [Mg] as principal constituent H01L2224/83424Aluminium [Al] as principal constituent H01L2224/83438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83439Silver [Ag] as principal constituent H01L2224/83444Gold [Au] as principal constituent H01L2224/83447Copper [Cu] as principal constituent H01L2224/83449Manganese [Mn] as principal constituent H01L2224/83455Nickel [Ni] as principal constituent H01L2224/83457Cobalt [Co] as principal constituent H01L2224/8346Iron [Fe] as principal constituent H01L2224/83463the principal constituent melting at a temperature of greater than 1550°C H01L2224/83464Palladium [Pd] as principal constituent H01L2224/83466Titanium [Ti] as principal constituent H01L2224/83469Platinum [Pt] as principal constituent H01L2224/8347Zirconium [Zr] as principal constituent H01L2224/83471Chromium [Cr] as principal constituent H01L2224/83472Vanadium [V] as principal constituent H01L2224/83473Rhodium [Rh] as principal constituent H01L2224/83476Ruthenium [Ru] as principal constituent H01L2224/83478Iridium [Ir] as principal constituent H01L2224/83479Niobium [Nb] as principal constituent H01L2224/8348Molybdenum [Mo] as principal constituent H01L2224/83481Tantalum [Ta] as principal constituent H01L2224/83483Rhenium [Re] as principal constituent H01L2224/83484Tungsten [W] as principal constituent H01L2224/83486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83487Ceramics H01L2224/83488Glasses H01L2224/8349with a principal constituent of the material being a polymer H01L2224/83491The principal constituent being an elastomer H01L2224/83493with a principal constituent of the material being a solid not provided for in groups H01L2224/834 - H01L2224/83491 H01L2224/83494with a principal constituent of the material being a liquid not provided for in groups H01L2224/834 - H01L2224/83491 H01L2224/83495with a principal constituent of the material being a gas not provided for in groups H01L2224/834 - H01L2224/83491 H01L2224/83498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/83499Material of the matrix H01L2224/835with a principal constituent of the material being a metal or a metalloid H01L2224/83501the principal constituent melting at a temperature of less than 400°C H01L2224/83505Gallium [Ga] as principal constituent H01L2224/83509Indium [In] as principal constituent H01L2224/83511Tin [Sn] as principal constituent H01L2224/83513Bismuth [Bi] as principal constituent H01L2224/83514Thallium [Tl] as principal constituent H01L2224/83516Lead [Pb] as principal constituent H01L2224/83517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83518Zinc [Zn] as principal constituent H01L2224/8352Antimony [Sb] as principal constituent H01L2224/83523Magnesium [Mg] as principal constituent H01L2224/83524Aluminium [Al] as principal constituent H01L2224/83538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83539Silver [Ag] as principal constituent H01L2224/83544Gold [Au] as principal constituent H01L2224/83547Copper [Cu] as principal constituent H01L2224/83549Manganese [Mn] as principal constituent H01L2224/83555Nickel [Ni] as principal constituent H01L2224/83557Cobalt [Co] as principal constituent H01L2224/8356Iron [Fe] as principal constituent H01L2224/83563the principal constituent melting at a temperature of greater than 1550°C H01L2224/83564Palladium [Pd] as principal constituent H01L2224/83566Titanium [Ti] as principal constituent H01L2224/83569Platinum [Pt] as principal constituent H01L2224/8357Zirconium [Zr] as principal constituent H01L2224/83571Chromium [Cr] as principal constituent H01L2224/83572Vanadium [V] as principal constituent H01L2224/83573Rhodium [Rh] as principal constituent H01L2224/83576Ruthenium [Ru] as principal constituent H01L2224/83578Iridium [Ir] as principal constituent H01L2224/83579Niobium [Nb] as principal constituent H01L2224/8358Molybdenum [Mo] as principal constituent H01L2224/83581Tantalum [Ta] as principal constituent H01L2224/83583Rhenium [Re] as principal constituent H01L2224/83584Tungsten [W] as principal constituent H01L2224/83586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83587Ceramics H01L2224/83588Glasses H01L2224/8359with a principal constituent of the material being a polymer H01L2224/83591The principal constituent being an elastomer H01L2224/83593with a principal constituent of the material being a solid not provided for in groups H01L2224/835 - H01L2224/83591 H01L2224/83594with a principal constituent of the material being a liquid not provided for in groups H01L2224/835 - H01L2224/83591 H01L2224/83595with a principal constituent of the material being a gas not provided for in groups H01L2224/835 - H01L2224/83591 H01L2224/83598Fillers H01L2224/83599Base material H01L2224/836with a principal constituent of the material being a metal or a metalloid H01L2224/83601the principal constituent melting at a temperature of less than 400°C H01L2224/83605Gallium [Ga] as principal constituent H01L2224/83609Indium [In] as principal constituent H01L2224/83611Tin [Sn] as principal constituent H01L2224/83613Bismuth [Bi] as principal constituent H01L2224/83614Thallium [Tl] as principal constituent H01L2224/83616Lead [Pb] as principal constituent H01L2224/83617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83618Zinc [Zn] as principal constituent H01L2224/8362Antimony [Sb] as principal constituent H01L2224/83623Magnesium [Mg] as principal constituent H01L2224/83624Aluminium [Al] as principal constituent H01L2224/83638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83639Silver [Ag] as principal constituent H01L2224/83644Gold [Au] as principal constituent H01L2224/83647Copper [Cu] as principal constituent H01L2224/83649Manganese [Mn] as principal constituent H01L2224/83655Nickel [Ni] as principal constituent H01L2224/83657Cobalt [Co] as principal constituent H01L2224/8366Iron [Fe] as principal constituent H01L2224/83663the principal constituent melting at a temperature of greater than 1550°C H01L2224/83664Palladium [Pd] as principal constituent H01L2224/83666Titanium [Ti] as principal constituent H01L2224/83669Platinum [Pt] as principal constituent H01L2224/8367Zirconium [Zr] as principal constituent H01L2224/83671Chromium [Cr] as principal constituent H01L2224/83672Vanadium [V] as principal constituent H01L2224/83673Rhodium [Rh] as principal constituent H01L2224/83676Ruthenium [Ru] as principal constituent H01L2224/83678Iridium [Ir] as principal constituent H01L2224/83679Niobium [Nb] as principal constituent H01L2224/8368Molybdenum [Mo] as principal constituent H01L2224/83681Tantalum [Ta] as principal constituent H01L2224/83683Rhenium [Re] as principal constituent H01L2224/83684Tungsten [W] as principal constituent H01L2224/83686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83687Ceramics H01L2224/83688Glasses H01L2224/8369with a principal constituent of the material being a polymer H01L2224/83691The principal constituent being an elastomer H01L2224/83693with a principal constituent of the material being a solid not provided for in groups H01L2224/836 - H01L2224/83691 H01L2224/83694with a principal constituent of the material being a liquid not provided for in groups H01L2224/836 - H01L2224/83691 H01L2224/83695with a principal constituent of the material being a gas not provided for in groups H01L2224/836 - H01L2224/83691 H01L2224/83698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/83699Coating material H01L2224/837with a principal constituent of the material being a metal or a metalloid H01L2224/83701the principal constituent melting at a temperature of less than 400°C H01L2224/83705Gallium [Ga] as principal constituent H01L2224/83709Indium [In] as principal constituent H01L2224/83711Tin [Sn] as principal constituent H01L2224/83713Bismuth [Bi] as principal constituent H01L2224/83714Thallium [Tl] as principal constituent H01L2224/83716Lead [Pb] as principal constituent H01L2224/83717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/83718Zinc [Zn] as principal constituent H01L2224/8372Antimony [Sb] as principal constituent H01L2224/83723Magnesium [Mg] as principal constituent H01L2224/83724Aluminium [Al] as principal constituent H01L2224/83738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/83739Silver [Ag] as principal constituent H01L2224/83744Gold [Au] as principal constituent H01L2224/83747Copper [Cu] as principal constituent H01L2224/83749Manganese [Mn] as principal constituent H01L2224/83755Nickel [Ni] as principal constituent H01L2224/83757Cobalt [Co] as principal constituent H01L2224/8376Iron [Fe] as principal constituent H01L2224/83763the principal constituent melting at a temperature of greater than 1550°C H01L2224/83764Palladium [Pd] as principal constituent H01L2224/83766Titanium [Ti] as principal constituent H01L2224/83769Platinum [Pt] as principal constituent H01L2224/8377Zirconium [Zr] as principal constituent H01L2224/83771Chromium [Cr] as principal constituent H01L2224/83772Vanadium [V] as principal constituent H01L2224/83773Rhodium [Rh] as principal constituent H01L2224/83776Ruthenium [Ru] as principal constituent H01L2224/83778Iridium [Ir] as principal constituent H01L2224/83779Niobium [Nb] as principal constituent H01L2224/8378Molybdenum [Mo] as principal constituent H01L2224/83781Tantalum [Ta] as principal constituent H01L2224/83783Rhenium [Re] as principal constituent H01L2224/83784Tungsten [W] as principal constituent H01L2224/83786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/83787Ceramics H01L2224/83788Glasses H01L2224/8379with a principal constituent of the material being a polymer H01L2224/83791The principal constituent being an elastomer H01L2224/83793with a principal constituent of the material being a solid not provided for in groups H01L2224/837 - H01L2224/83791 H01L2224/83794with a principal constituent of the material being a liquid not provided for in groups H01L2224/837 - H01L2224/83791 H01L2224/83795with a principal constituent of the material being a gas not provided for in groups H01L2224/837 - H01L2224/83791 H01L2224/83798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/83799Shape or distribution of the fillers H01L2224/838Bonding techniques H01L2224/83801Soldering or alloying H01L2224/83805involving forming a eutectic alloy at the bonding interface H01L2224/8381involving forming an intermetallic compound at the bonding interface H01L2224/83815Reflow soldering H01L2224/8382Diffusion bonding H01L2224/83825Solid-liquid interdiffusion H01L2224/8383Solid-solid interdiffusion H01L2224/8384Sintering H01L2224/8385using a polymer adhesive H01L2224/83851being an anisotropic conductive adhesive H01L2224/83855Hardening the adhesive by curing H01L2224/83856Pre-cured adhesive H01L2224/83859Localised curing of parts of the layer connector H01L2224/83862Heat curing H01L2224/83865Microwave curing H01L2224/83868Infrared [IR] curing H01L2224/83871Visible light curing H01L2224/83874Ultraviolet [UV] curing H01L2224/83877Moisture curing, i.e. curing by exposing to humidity H01L2224/8388Hardening the adhesive by cooling H01L2224/83885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/83855 - H01L2224/8388 H01L2224/83886Involving a self-assembly process H01L2224/83887Auxiliary means therefor H01L2224/83888with special adaptation of the surface of the body to be connected H01L2224/83889involving the material of the bonding area H01L2224/8389using an inorganic non metallic glass type adhesive H01L2224/83893Anodic bonding H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/83895between electrically conductive surfaces H01L2224/83896between electrically insulating surfaces H01L2224/83897Mechanical interlocking H01L2224/83898Press-fitting, i.e. pushing the parts together and fastening by friction H01L2224/83899using resilient parts in the layer connector or in the bonding area H01L2224/839with the layer connector not providing any mechanical bonding H01L2224/83901Pressing the layer connector against the bonding areas by means of another connector H01L2224/83902by means of another layer connector H01L2224/83903by means of a bump connector H01L2224/83904by means of an encapsulation layer or foil H01L2224/83905Combinations of bonding methods provided for in at least two different groups from H01L2224/838 - H01L2224/83904 H01L2224/83906Specific sequence of method steps H01L2224/83907Intermediate bonding H01L2224/83908involving monitoring H01L2224/83909Post-treatment of the layer connector or bonding area H01L2224/8391Cleaning H01L2224/83911Chemical cleaning H01L2224/83912Mechanical cleaning H01L2224/83913Plasma cleaning H01L2224/83914Thermal cleaning H01L2224/83919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8391 - H01L2224/83914 H01L2224/8392Applying permanent coating H01L2224/8393Reshaping H01L2224/83931by chemical means H01L2224/83935by heating means H01L2224/83937using a polychromatic heating lamp H01L2224/83939using a laser H01L2224/83941Induction heating H01L2224/83943using a flame torch H01L2224/83945using a corona discharge H01L2224/83947by mechanical means H01L2224/83948Thermal treatments H01L2224/83951Forming additional members H01L2224/83986Specific sequence of steps H01L2224/84using a strap connector H01L2224/84001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/84002being a removable or sacrificial coating H01L2224/84005being a temporary substrate H01L2224/84007involving a permanent auxiliary member being left in the finished device H01L2224/84009Pre-treatment of the connector and/or the bonding area H01L2224/8401Cleaning H01L2224/84011Chemical cleaning H01L2224/84012Mechanical cleaning H01L2224/84013Plasma cleaning H01L2224/84014Thermal cleaning H01L2224/84019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8401 - H01L2224/84014 H01L2224/8402Applying permanent coating H01L2224/8403Reshaping H01L2224/84031by chemical means H01L2224/84035by heating means H01L2224/84037using a polychromatic heating lamp H01L2224/84039using a laser H01L2224/84041Induction heating H01L2224/84043using a flame torch H01L2224/84045using a corona discharge H01L2224/84047by mechanical means H01L2224/84048Thermal treatments H01L2224/84051Forming additional members H01L2224/84053Bonding environment H01L2224/84054Composition of the atmosphere H01L2224/84055being oxidating H01L2224/84065being reducing H01L2224/84075being inert H01L2224/84085being a liquid ( H01L2224/8409Vacuum H01L2224/84091Under pressure H01L2224/84092Atmospheric pressure H01L2224/84093Transient conditions H01L2224/84095Temperature settings H01L2224/84096Transient conditions H01L2224/84097Heating H01L2224/84098Cooling H01L2224/84099Ambient temperature H01L2224/841the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8411involving protection against electrical discharge H01L2224/8412Aligning H01L2224/84121Active alignment, i.e. by apparatus steering H01L2224/84122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/84123Shape or position of the body H01L2224/84125Bonding areas on the body H01L2224/84127Bonding areas outside the body H01L2224/84129Shape or position of the other item H01L2224/8413using marks formed on the semiconductor or solid-state body H01L2224/84132using marks formed outside the semiconductor or solid-state body H01L2224/84136involving guiding structures H01L2224/84138the guiding structures being at least partially left in the finished device H01L2224/84143Passive alignment, i.e. self alignment H01L2224/84148involving movement of a part of the bonding apparatus H01L2224/84149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8415Rotational movements H01L2224/8416Translational movements H01L2224/84169being the upper part of the bonding apparatus H01L2224/8417Rotational movements H01L2224/8418Translational movements H01L2224/84181connecting first on the semiconductor or solid-state body H01L2224/84186connecting first outside the semiconductor or solid-state body H01L2224/84191connecting first both on and outside the semiconductor or solid-state body H01L2224/84196involving intermediate connecting steps before cutting the strap connector H01L2224/842Applying energy for connecting H01L2224/84201Compression bonding H01L2224/84203Thermocompression bonding H01L2224/84205Ultrasonic bonding H01L2224/84206Direction of oscillation H01L2224/84207Thermosonic bonding H01L2224/8421with energy being in the form of electromagnetic radiation H01L2224/84212Induction heating H01L2224/84214using a laser H01L2224/8423Polychromatic or infrared lamp heating H01L2224/84232using an autocatalytic reaction H01L2224/84234using means for applying energy being within the device H01L2224/84236using electro-static corona discharge H01L2224/84237using an electron beam H01L2224/84238using electric resistance welding H01L2224/8434Bonding interfaces of the connector H01L2224/84345Shape H01L2224/84355having an external coating H01L2224/84359Material H01L2224/8436Bonding interfaces of the semiconductor or solid state body H01L2224/84365Shape H01L2224/84375having an external coating H01L2224/84379Material H01L2224/8438Bonding interfaces outside the semiconductor or solid-state body H01L2224/84385Shape H01L2224/84395having an external coating H01L2224/84399Material H01L2224/844with a principal constituent of the material being a metal or a metalloid H01L2224/84401the principal constituent melting at a temperature of less than 400°C H01L2224/84405Gallium [Ga] as principal constituent H01L2224/84409Indium [In] as principal constituent H01L2224/84411Tin [Sn] as principal constituent H01L2224/84413Bismuth [Bi] as principal constituent H01L2224/84414Thallium [Tl] as principal constituent H01L2224/84416Lead [Pb] as principal constituent H01L2224/84417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84418Zinc [Zn] as principal constituent H01L2224/8442Antimony [Sb] as principal constituent H01L2224/84423Magnesium [Mg] as principal constituent H01L2224/84424Aluminium [Al] as principal constituent H01L2224/84438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84439Silver [Ag] as principal constituent H01L2224/84444Gold [Au] as principal constituent H01L2224/84447Copper [Cu] as principal constituent H01L2224/84449Manganese [Mn] as principal constituent H01L2224/84455Nickel [Ni] as principal constituent H01L2224/84457Cobalt [Co] as principal constituent H01L2224/8446Iron [Fe] as principal constituent H01L2224/84463the principal constituent melting at a temperature of greater than 1550°C H01L2224/84464Palladium [Pd] as principal constituent H01L2224/84466Titanium [Ti] as principal constituent H01L2224/84469Platinum [Pt] as principal constituent H01L2224/8447Zirconium [Zr] as principal constituent H01L2224/84471Chromium [Cr] as principal constituent H01L2224/84472Vanadium [V] as principal constituent H01L2224/84473Rhodium [Rh] as principal constituent H01L2224/84476Ruthenium [Ru] as principal constituent H01L2224/84478Iridium [Ir] as principal constituent H01L2224/84479Niobium [Nb] as principal constituent H01L2224/8448Molybdenum [Mo] as principal constituent H01L2224/84481Tantalum [Ta] as principal constituent H01L2224/84483Rhenium [Re] as principal constituent H01L2224/84484Tungsten [W] as principal constituent H01L2224/84486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84487Ceramics H01L2224/84488Glasses H01L2224/8449with a principal constituent of the material being a polymer H01L2224/84491The principal constituent being an elastomer H01L2224/84493with a principal constituent of the material being a solid not provided for in groups H01L2224/844 - H01L2224/84491 H01L2224/84494with a principal constituent of the material being a liquid not provided for in groups H01L2224/844 - H01L2224/84491 H01L2224/84495with a principal constituent of the material being a gas not provided for in groups H01L2224/844 - H01L2224/84491 H01L2224/84498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/84499Material of the matrix H01L2224/845with a principal constituent of the material being a metal or a metalloid H01L2224/84501the principal constituent melting at a temperature of less than 400°C H01L2224/84505Gallium [Ga] as principal constituent H01L2224/84509Indium [In] as principal constituent H01L2224/84511Tin [Sn] as principal constituent H01L2224/84513Bismuth [Bi] as principal constituent H01L2224/84514Thallium [Tl] as principal constituent H01L2224/84516Lead [Pb] as principal constituent H01L2224/84517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84518Zinc [Zn] as principal constituent H01L2224/8452Antimony [Sb] as principal constituent H01L2224/84523Magnesium [Mg] as principal constituent H01L2224/84524Aluminium [Al] as principal constituent H01L2224/84538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84539Silver [Ag] as principal constituent H01L2224/84544Gold [Au] as principal constituent H01L2224/84547Copper [Cu] as principal constituent H01L2224/84549Manganese [Mn] as principal constituent H01L2224/84555Nickel [Ni] as principal constituent H01L2224/84557Cobalt [Co] as principal constituent H01L2224/8456Iron [Fe] as principal constituent H01L2224/84563the principal constituent melting at a temperature of greater than 1550°C H01L2224/84564Palladium [Pd] as principal constituent H01L2224/84566Titanium [Ti] as principal constituent H01L2224/84569Platinum [Pt] as principal constituent H01L2224/8457Zirconium [Zr] as principal constituent H01L2224/84571Chromium [Cr] as principal constituent H01L2224/84572Vanadium [V] as principal constituent H01L2224/84573Rhodium [Rh] as principal constituent H01L2224/84576Ruthenium [Ru] as principal constituent H01L2224/84578Iridium [Ir] as principal constituent H01L2224/84579Niobium [Nb] as principal constituent H01L2224/8458Molybdenum [Mo] as principal constituent H01L2224/84581Tantalum [Ta] as principal constituent H01L2224/84583Rhenium [Re] as principal constituent H01L2224/84584Tungsten [W] as principal constituent H01L2224/84586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84587Ceramics H01L2224/84588Glasses H01L2224/8459with a principal constituent of the material being a polymer H01L2224/84591The principal constituent being an elastomer H01L2224/84593with a principal constituent of the material being a solid not provided for in groups H01L2224/845 - H01L2224/84591 H01L2224/84594with a principal constituent of the material being a liquid not provided for in groups H01L2224/845 - H01L2224/84591 H01L2224/84595with a principal constituent of the material being a gas not provided for in groups H01L2224/845 - H01L2224/84591 H01L2224/84598Fillers H01L2224/84599Base material H01L2224/846with a principal constituent of the material being a metal or a metalloid H01L2224/84601the principal constituent melting at a temperature of less than 400°C H01L2224/84605Gallium [Ga] as principal constituent H01L2224/84609Indium [In] as principal constituent H01L2224/84611Tin [Sn] as principal constituent H01L2224/84613Bismuth [Bi] as principal constituent H01L2224/84614Thallium [Tl] as principal constituent H01L2224/84616Lead [Pb] as principal constituent H01L2224/84617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84618Zinc [Zn] as principal constituent H01L2224/8462Antimony [Sb] as principal constituent H01L2224/84623Magnesium [Mg] as principal constituent H01L2224/84624Aluminium [Al] as principal constituent H01L2224/84638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84639Silver [Ag] as principal constituent H01L2224/84644Gold [Au] as principal constituent H01L2224/84647Copper [Cu] as principal constituent H01L2224/84649Manganese [Mn] as principal constituent H01L2224/84655Nickel [Ni] as principal constituent H01L2224/84657Cobalt [Co] as principal constituent H01L2224/8466Iron [Fe] as principal constituent H01L2224/84663the principal constituent melting at a temperature of greater than 1550°C H01L2224/84664Palladium [Pd] as principal constituent H01L2224/84666Titanium [Ti] as principal constituent H01L2224/84669Platinum [Pt] as principal constituent H01L2224/8467Zirconium [Zr] as principal constituent H01L2224/84671Chromium [Cr] as principal constituent H01L2224/84672Vanadium [V] as principal constituent H01L2224/84673Rhodium [Rh] as principal constituent H01L2224/84676Ruthenium [Ru] as principal constituent H01L2224/84678Iridium [Ir] as principal constituent H01L2224/84679Niobium [Nb] as principal constituent H01L2224/8468Molybdenum [Mo] as principal constituent H01L2224/84681Tantalum [Ta] as principal constituent H01L2224/84683Rhenium [Re] as principal constituent H01L2224/84684Tungsten [W] as principal constituent H01L2224/84686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84687Ceramics H01L2224/84688Glasses H01L2224/8469with a principal constituent of the material being a polymer H01L2224/84691The principal constituent being an elastomer H01L2224/84693with a principal constituent of the material being a solid not provided for in groups H01L2224/846 - H01L2224/84691 H01L2224/84694with a principal constituent of the material being a liquid not provided for in groups H01L2224/846 - H01L2224/84691 H01L2224/84695with a principal constituent of the material being a gas not provided for in groups H01L2224/846 - H01L2224/84691 H01L2224/84698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/84699Coating material H01L2224/847with a principal constituent of the material being a metal or a metalloid H01L2224/84701the principal constituent melting at a temperature of less than 400°C H01L2224/84705Gallium [Ga] as principal constituent H01L2224/84709Indium [In] as principal constituent H01L2224/84711Tin [Sn] as principal constituent H01L2224/84713Bismuth [Bi] as principal constituent H01L2224/84714Thallium [Tl] as principal constituent H01L2224/84716Lead [Pb] as principal constituent H01L2224/84717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/84718Zinc [Zn] as principal constituent H01L2224/8472Antimony [Sb] as principal constituent H01L2224/84723Magnesium [Mg] as principal constituent H01L2224/84724Aluminium [Al] as principal constituent H01L2224/84738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/84739Silver [Ag] as principal constituent H01L2224/84744Gold [Au] as principal constituent H01L2224/84747Copper [Cu] as principal constituent H01L2224/84749Manganese [Mn] as principal constituent H01L2224/84755Nickel [Ni] as principal constituent H01L2224/84757Cobalt [Co] as principal constituent H01L2224/8476Iron [Fe] as principal constituent H01L2224/84763the principal constituent melting at a temperature of greater than 1550°C H01L2224/84764Palladium [Pd] as principal constituent H01L2224/84766Titanium [Ti] as principal constituent H01L2224/84769Platinum [Pt] as principal constituent H01L2224/8477Zirconium [Zr] as principal constituent H01L2224/84771Chromium [Cr] as principal constituent H01L2224/84772Vanadium [V] as principal constituent H01L2224/84773Rhodium [Rh] as principal constituent H01L2224/84776Ruthenium [Ru] as principal constituent H01L2224/84778Iridium [Ir] as principal constituent H01L2224/84779Niobium [Nb] as principal constituent H01L2224/8478Molybdenum [Mo] as principal constituent H01L2224/84781Tantalum [Ta] as principal constituent H01L2224/84783Rhenium [Re] as principal constituent H01L2224/84784Tungsten [W] as principal constituent H01L2224/84786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/84787Ceramics H01L2224/84788Glasses H01L2224/8479with a principal constituent of the material being a polymer H01L2224/84791The principal constituent being an elastomer H01L2224/84793with a principal constituent of the material being a solid not provided for in groups H01L2224/847 - H01L2224/84791 H01L2224/84794with a principal constituent of the material being a liquid not provided for in groups H01L2224/847 - H01L2224/84791 H01L2224/84795with a principal constituent of the material being a gas not provided for in groups H01L2224/847 - H01L2224/84791 H01L2224/84798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/84799Shape or distribution of the fillers H01L2224/848Bonding techniques H01L2224/84801Soldering or alloying H01L2224/84805involving forming a eutectic alloy at the bonding interface H01L2224/8481involving forming an intermetallic compound at the bonding interface H01L2224/84815Reflow soldering H01L2224/8482Diffusion bonding H01L2224/84825Solid-liquid interdiffusion H01L2224/8483Solid-solid interdiffusion H01L2224/8484Sintering H01L2224/8485using a polymer adhesive H01L2224/84855Hardening the adhesive by curing H01L2224/84856Pre-cured adhesive H01L2224/84859Localised curing of parts of the connector H01L2224/84862Heat curing H01L2224/84865Microwave curing H01L2224/84868Infrared [IR] curing H01L2224/84871Visible light curing H01L2224/84874Ultraviolet [UV] curing H01L2224/84877Moisture curing, i.e. curing by exposing to humidity H01L2224/8488Hardening the adhesive by cooling H01L2224/84885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/84855 - H01L2224/8488 H01L2224/8489using an inorganic non metallic glass type adhesive H01L2224/84893Anodic bonding H01L2224/84895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/84897between electrically conductive surfaces H01L2224/84898between electrically insulating surfaces H01L2224/84899Combinations of bonding methods provided for in at least two different groups from H01L2224/848 - H01L2224/84898 H01L2224/849involving monitoring H01L2224/84909Post-treatment of the connector or bonding area H01L2224/8491Cleaning H01L2224/84911Chemical cleaning H01L2224/84912Mechanical cleaning H01L2224/84913Plasma cleaning H01L2224/84914Thermal cleaning H01L2224/84919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8491 - H01L2224/84914 H01L2224/8492Applying permanent coating H01L2224/8493Reshaping H01L2224/84931by chemical means H01L2224/84935by heating means H01L2224/84937using a polychromatic heating lamp H01L2224/84939using a laser H01L2224/84941Induction heating H01L2224/84943using a flame torch H01L2224/84945using a corona discharge H01L2224/84947by mechanical means H01L2224/84948Thermal treatments H01L2224/84951Forming additional members H01L2224/84986Specific sequence of steps H01L2224/85using a wire connector H01L2224/85001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/85002being a removable or sacrificial coating H01L2224/85005being a temporary or sacrificial substrate H01L2224/85007involving a permanent auxiliary member being left in the finished device H01L2224/85009Pre-treatment of the connector or the bonding area H01L2224/8501Cleaning H01L2224/85011Chemical cleaning H01L2224/85012Mechanical cleaning H01L2224/85013Plasma cleaning H01L2224/85014Thermal cleaning H01L2224/85016using a laser H01L2224/85017Electron beam cleaning H01L2224/85019Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8501 - H01L2224/85014 H01L2224/8502Applying permanent coating H01L2224/8503Reshaping H01L2224/85031by chemical means H01L2224/85035by heating means H01L2224/85037using a polychromatic heating lamp H01L2224/85039using a laser H01L2224/85041Induction heating H01L2224/85043using a flame torch H01L2224/85045using a corona discharge H01L2224/85047by mechanical means H01L2224/85048Thermal treatments H01L2224/85051Forming additional members H01L2224/85053Bonding environment H01L2224/85054Composition of the atmosphere H01L2224/85055being oxidating H01L2224/85065being reducing H01L2224/85075being inert H01L2224/85085being a liquid H01L2224/8509Vacuum H01L2224/85091Under pressure H01L2224/85092Atmospheric pressure H01L2224/85093Transient conditions H01L2224/85095Temperature settings H01L2224/85096Transient conditions H01L2224/85097Heating H01L2224/85098Cooling H01L2224/85099Ambient temperature H01L2224/851the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8511involving protection against electrical discharge H01L2224/8512Aligning H01L2224/85121Active alignment, i.e. by apparatus steering H01L2224/85122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/85123Shape or position of the body H01L2224/85125Bonding areas on the body H01L2224/85127Bonding areas outside the body H01L2224/85129Shape or position of the other item H01L2224/8513using marks formed on the semiconductor or solid-state body H01L2224/85132using marks formed outside the semiconductor or solid-state body H01L2224/85136involving guiding structures H01L2224/85138the guiding structures being at least partially left in the finished device H01L2224/85143Passive alignment, i.e. self alignment H01L2224/85148involving movement of a part of the bonding apparatus H01L2224/85149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8515Rotational movements H01L2224/8516Translational movements H01L2224/85169being the upper part of the bonding apparatus, i.e. bonding head H01L2224/8517Rotational movements H01L2224/8518Translational movements H01L2224/85181connecting first on the semiconductor or solid-state body H01L2224/85186connecting first outside the semiconductor or solid-state body H01L2224/85191connecting first both on and outside the semiconductor or solid-state body H01L2224/85196involving intermediate connecting steps before cutting the wire connector H01L2224/852Applying energy for connecting H01L2224/85201Compression bonding H01L2224/85203Thermocompression bonding H01L2224/85205Ultrasonic bonding H01L2224/85206Direction of oscillation H01L2224/85207Thermosonic bonding H01L2224/8521with energy being in the form of electromagnetic radiation H01L2224/85212Induction heating H01L2224/85214using a laser H01L2224/8523Polychromatic or infrared lamp heating H01L2224/85232using an autocatalytic reaction H01L2224/85234using means for applying energy being within the device H01L2224/85236using electro-static corona discharge H01L2224/85237using electron beam H01L2224/85238using electric resistance welding H01L2224/8534Bonding interfaces of the connector H01L2224/85345Shape H01L2224/85355having an external coating H01L2224/85359Material H01L2224/8536Bonding interfaces of the semiconductor or solid state body H01L2224/85365Shape H01L2224/85375having an external coating H01L2224/85379Material H01L2224/8538Bonding interfaces outside the semiconductor or solid-state body H01L2224/85385Shape H01L2224/85395having an external coating H01L2224/85399Material H01L2224/854with a principal constituent of the material being a metal or a metalloid H01L2224/85401the principal constituent melting at a temperature of less than 400°C H01L2224/85405Gallium (Ga) as principal constituent H01L2224/85409Indium (In) as principal constituent H01L2224/85411Tin (Sn) as principal constituent H01L2224/85413Bismuth (Bi) as principal constituent H01L2224/85414Thallium (Tl) as principal constituent H01L2224/85416Lead (Pb) as principal constituent H01L2224/85417the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85418Zinc (Zn) as principal constituent H01L2224/8542Antimony (Sb) as principal constituent H01L2224/85423Magnesium (Mg) as principal constituent H01L2224/85424Aluminium (Al) as principal constituent H01L2224/85438the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85439Silver (Ag) as principal constituent H01L2224/85444Gold (Au) as principal constituent H01L2224/85447Copper (Cu) as principal constituent H01L2224/85449Manganese (Mn) as principal constituent H01L2224/85455Nickel (Ni) as principal constituent H01L2224/85457Cobalt (Co) as principal constituent H01L2224/8546Iron (Fe) as principal constituent H01L2224/85463the principal constituent melting at a temperature of greater than 1550°C H01L2224/85464Palladium (Pd) as principal constituent H01L2224/85466Titanium (Ti) as principal constituent H01L2224/85469Platinum (Pt) as principal constituent H01L2224/8547Zirconium (Zr) as principal constituent H01L2224/85471Chromium (Cr) as principal constituent H01L2224/85472Vanadium (V) as principal constituent H01L2224/85473Rhodium (Rh) as principal constituent H01L2224/85476Ruthenium (Ru) as principal constituent H01L2224/85478Iridium (Ir) as principal constituent H01L2224/85479Niobium (Nb) as principal constituent H01L2224/8548Molybdenum (Mo) as principal constituent H01L2224/85481Tantalum (Ta) as principal constituent H01L2224/85483Rhenium (Re) as principal constituent H01L2224/85484Tungsten (W) as principal constituent H01L2224/85486with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85487Ceramics H01L2224/85488Glasses H01L2224/8549with a principal constituent of the material being a polymer H01L2224/85491The principal constituent being an elastomer H01L2224/85493with a principal constituent of the material being a solid not provided for in groups H01L2224/854 - H01L2224/85491 H01L2224/85494with a principal constituent of the material being a liquid not provided for in groups H01L2224/854 - H01L2224/85491 H01L2224/85495with a principal constituent of the material being a gas not provided for in groups H01L2224/854 - H01L2224/85491 H01L2224/85498with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/85499Material of the matrix H01L2224/855with a principal constituent of the material being a metal or a metalloid H01L2224/85501the principal constituent melting at a temperature of less than 400°C H01L2224/85505Gallium (Ga) as principal constituent H01L2224/85509Indium (In) as principal constituent H01L2224/85511Tin (Sn) as principal constituent H01L2224/85513Bismuth (Bi) as principal constituent H01L2224/85514Thallium (Tl) as principal constituent H01L2224/85516Lead (Pb) as principal constituent H01L2224/85517the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85518Zinc (Zn) as principal constituent H01L2224/8552Antimony (Sb) as principal constituent H01L2224/85523Magnesium (Mg) as principal constituent H01L2224/85524Aluminium (Al) as principal constituent H01L2224/85538the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85539Silver (Ag) as principal constituent H01L2224/85544Gold (Au) as principal constituent H01L2224/85547Copper (Cu) as principal constituent H01L2224/85549Manganese (Mn) as principal constituent H01L2224/85555Nickel (Ni) as principal constituent H01L2224/85557Cobalt (Co) as principal constituent H01L2224/8556Iron (Fe) as principal constituent H01L2224/85563the principal constituent melting at a temperature of greater than 1550°C H01L2224/85564Palladium (Pd) as principal constituent H01L2224/85566Titanium (Ti) as principal constituent H01L2224/85569Platinum (Pt) as principal constituent H01L2224/8557Zirconium (Zr) as principal constituent H01L2224/85571Chromium (Cr) as principal constituent H01L2224/85572Vanadium (V) as principal constituent H01L2224/85573Rhodium (Rh) as principal constituent H01L2224/85576Ruthenium (Ru) as principal constituent H01L2224/85578Iridium (Ir) as principal constituent H01L2224/85579Niobium (Nb) as principal constituent H01L2224/8558Molybdenum (Mo) as principal constituent H01L2224/85581Tantalum (Ta) as principal constituent H01L2224/85583Rhenium (Re) as principal constituent H01L2224/85584Tungsten (W) as principal constituent H01L2224/85586with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85587Ceramics H01L2224/85588Glasses H01L2224/8559with a principal constituent of the material being a polymer H01L2224/85591The principal constituent being an elastomer H01L2224/85593with a principal constituent of the material being a solid not provided for in groups H01L2224/855 - H01L2224/85591 H01L2224/85594with a principal constituent of the material being a liquid not provided for in groups H01L2224/855 - H01L2224/85591 H01L2224/85595with a principal constituent of the material being a gas not provided for in groups H01L2224/855 - H01L2224/85591 H01L2224/85598Fillers H01L2224/85599Base material H01L2224/856with a principal constituent of the material being a metal or a metalloid H01L2224/85601the principal constituent melting at a temperature of less than 400°C H01L2224/85605Gallium (Ga) as principal constituent H01L2224/85609Indium (In) as principal constituent H01L2224/85611Tin (Sn) as principal constituent H01L2224/85613Bismuth (Bi) as principal constituent H01L2224/85614Thallium (Tl) as principal constituent H01L2224/85616Lead (Pb) as principal constituent H01L2224/85617the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85618Zinc (Zn) as principal constituent H01L2224/8562Antimony (Sb) as principal constituent H01L2224/85623Magnesium (Mg) as principal constituent H01L2224/85624Aluminium (Al) as principal constituent H01L2224/85638the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85639Silver (Ag) as principal constituent H01L2224/85644Gold (Au) as principal constituent H01L2224/85647Copper (Cu) as principal constituent H01L2224/85649Manganese (Mn) as principal constituent H01L2224/85655Nickel (Ni) as principal constituent H01L2224/85657Cobalt (Co) as principal constituent H01L2224/8566Iron (Fe) as principal constituent H01L2224/85663the principal constituent melting at a temperature of greater than 1550°C H01L2224/85664Palladium (Pd) as principal constituent H01L2224/85666Titanium (Ti) as principal constituent H01L2224/85669Platinum (Pt) as principal constituent H01L2224/8567Zirconium (Zr) as principal constituent H01L2224/85671Chromium (Cr) as principal constituent H01L2224/85672Vanadium (V) as principal constituent H01L2224/85673Rhodium (Rh) as principal constituent H01L2224/85676Ruthenium (Ru) as principal constituent H01L2224/85678Iridium (Ir) as principal constituent H01L2224/85679Niobium (Nb) as principal constituent H01L2224/8568Molybdenum (Mo) as principal constituent H01L2224/85681Tantalum (Ta) as principal constituent H01L2224/85683Rhenium (Re) as principal constituent H01L2224/85684Tungsten (W) as principal constituent H01L2224/85686with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85687Ceramics H01L2224/85688Glasses H01L2224/8569with a principal constituent of the material being a polymer H01L2224/85691The principal constituent being an elastomer H01L2224/85693with a principal constituent of the material being a solid not provided for in groups H01L2224/856 - H01L2224/85691 H01L2224/85694with a principal constituent of the material being a liquid not provided for in groups H01L2224/856 - H01L2224/85691 H01L2224/85695with a principal constituent of the material being a gas not provided for in groups H01L2224/856 - H01L2224/85691 H01L2224/85698with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/85699Coating material H01L2224/857with a principal constituent of the material being a metal or a metalloid H01L2224/85701the principal constituent melting at a temperature of less than 400°C H01L2224/85705Gallium (Ga) as principal constituent H01L2224/85709Indium (In) as principal constituent H01L2224/85711Tin (Sn) as principal constituent H01L2224/85713Bismuth (Bi) as principal constituent H01L2224/85714Thallium (Tl) as principal constituent H01L2224/85716Lead (Pb) as principal constituent H01L2224/85717the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C H01L2224/85718Zinc (Zn) as principal constituent H01L2224/8572Antimony (Sb) as principal constituent H01L2224/85723Magnesium (Mg) as principal constituent H01L2224/85724Aluminium (Al) as principal constituent H01L2224/85738the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C H01L2224/85739Silver (Ag) as principal constituent H01L2224/85744Gold (Au) as principal constituent H01L2224/85747Copper (Cu) as principal constituent H01L2224/85749Manganese (Mn) as principal constituent H01L2224/85755Nickel (Ni) as principal constituent H01L2224/85757Cobalt (Co) as principal constituent H01L2224/8576Iron (Fe) as principal constituent H01L2224/85763the principal constituent melting at a temperature of greater than 1550°C H01L2224/85764Palladium (Pd) as principal constituent H01L2224/85766Titanium (Ti) as principal constituent H01L2224/85769Platinum (Pt) as principal constituent H01L2224/8577Zirconium (Zr) as principal constituent H01L2224/85771Chromium (Cr) as principal constituent H01L2224/85772Vanadium (V) as principal constituent H01L2224/85773Rhodium (Rh) as principal constituent H01L2224/85776Ruthenium (Ru) as principal constituent H01L2224/85778Iridium (Ir) as principal constituent H01L2224/85779Niobium (Nb) as principal constituent H01L2224/8578Molybdenum (Mo) as principal constituent H01L2224/85781Tantalum (Ta) as principal constituent H01L2224/85783Rhenium (Re) as principal constituent H01L2224/85784Tungsten (W) as principal constituent H01L2224/85786with a principal constituent of the material being a non metallic, non metalloid inorganic material H01L2224/85787Ceramics H01L2224/85788Glasses H01L2224/8579with a principal constituent of the material being a polymer H01L2224/85791The principal constituent being an elastomer H01L2224/85793with a principal constituent of the material being a solid not provided for in groups H01L2224/857 - H01L2224/85791 H01L2224/85794with a principal constituent of the material being a liquid not provided for in groups H01L2224/857 - H01L2224/85791 H01L2224/85795with a principal constituent of the material being a gas not provided for in groups H01L2224/857 - H01L2224/85791 H01L2224/85798with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material H01L2224/85799Shape or distribution of the fillers H01L2224/858Bonding techniques H01L2224/85801Soldering or alloying H01L2224/85805involving forming a eutectic alloy at the bonding interface H01L2224/8581involving forming an intermetallic compound at the bonding interface H01L2224/85815Reflow soldering H01L2224/8582Diffusion bonding H01L2224/85825Solid-liquid interdiffusion H01L2224/8583Solid-solid interdiffusion H01L2224/8584Sintering H01L2224/8585using a polymer adhesive H01L2224/85855Hardening the adhesive by curing H01L2224/85856Pre-cured adhesive H01L2224/85859Localised curing of parts of the connector H01L2224/85862Heat curing H01L2224/85865Microwave curing H01L2224/85868Infrared [IR] curing H01L2224/85871Visible light curing H01L2224/85874Ultraviolet [UV] curing H01L2224/85877Moisture curing, i.e. curing by exposing to humidity H01L2224/8588Hardening the adhesive by cooling H01L2224/85885Combinations of two or more hardening methods provided for in at least two different groups from H01L2224/85855 - H01L2224/8588 H01L2224/8589using an inorganic non metallic glass type adhesive H01L2224/85893Anodic bonding H01L2224/85895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/85897between electrically conductive surfaces H01L2224/85898between electrically insulating surfaces H01L2224/85899Combinations of bonding methods provided for in at least two different groups from H01L2224/858 - H01L2224/85898 H01L2224/859involving monitoring H01L2224/85909Post-treatment of the connector or wire bonding area H01L2224/8591Cleaning H01L2224/85911Chemical cleaning H01L2224/85912Mechanical cleaning H01L2224/85913Plasma cleaning H01L2224/85914Thermal cleaning H01L2224/85916using a laser H01L2224/85917Electron beam cleaning H01L2224/85919Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8591 - H01L2224/85914 H01L2224/8592Applying permanent coating H01L2224/8593Reshaping H01L2224/85931by chemical means H01L2224/85935by heating means H01L2224/85937using a polychromatic heating lamp H01L2224/85939using a laser H01L2224/85941Induction heating H01L2224/85943using a flame torch H01L2224/85945using a corona discharge H01L2224/85947by mechanical means H01L2224/85948Thermal treatments H01L2224/85951Forming additional members H01L2224/85986Specific sequence of steps H01L2224/86using tape automated bonding [TAB] H01L2224/86001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/86002being a removable or sacrificial coating H01L2224/86005being a temporary or sacrificial substrate H01L2224/86007involving a permanent auxiliary member being left in the finished device H01L2224/86009Pre-treatment of the connector or the bonding area H01L2224/8601Cleaning H01L2224/8603Reshaping H01L2224/86031by chemical means H01L2224/86035by heating H01L2224/86039using a laser H01L2224/86045using a corona discharge H01L2224/86047by mechanical means H01L2224/86048Thermal treatment H01L2224/86051Forming additional members H01L2224/86053Bonding environment H01L2224/86054Composition of the atmosphere H01L2224/86085being a liquid H01L2224/8609Vacuum H01L2224/86091Under pressure H01L2224/86095Temperature settings H01L2224/86096Transient conditions H01L2224/86097Heating H01L2224/86098Cooling H01L2224/86099Ambient temperature H01L2224/861the connector being supplied to the parts to be connected in the bonding apparatus H01L2224/8611involving protection against electrical discharge H01L2224/8612Aligning H01L2224/86121Active alignment, i.e. by apparatus steering H01L2224/86122by detecting inherent features of, or outside, the semiconductor or solid-state body H01L2224/8613using marks formed on the semiconductor or solid-state body H01L2224/86132using marks formed outside the semiconductor or solid-state body H01L2224/86136involving guiding structures H01L2224/86138the guiding structures being at least partially left in the finished device H01L2224/86143Passive alignment, i.e. self alignment H01L2224/86148involving movement of a part of the bonding apparatus H01L2224/86149being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected H01L2224/8615Rotational movements H01L2224/8616Translational movements H01L2224/86169being the upper part of the bonding apparatus H01L2224/8617Rotational movement H01L2224/8618Translational movements H01L2224/86181connecting first on the semiconductor or solid-state body H01L2224/86186connecting first outside the semiconductor or solid-state body H01L2224/86191connecting first both on and outside the semiconductor or solid-state body H01L2224/862Applying energy for connecting H01L2224/86201Compression bonding H01L2224/86203Thermo-compression bonding H01L2224/86205Ultrasonic bonding H01L2224/86207Thermosonic bonding H01L2224/8621with energy being in the form of electromagnetic radiation H01L2224/86212Induction heating H01L2224/86214using a laser H01L2224/8623Polychromatic or infrared lamp heating H01L2224/86232using an autocatalytic reaction H01L2224/86234using means for applying energy being within the device H01L2224/86236using electro-static corona discharge H01L2224/86237using electron beam H01L2224/86238using electric resistance welding H01L2224/8634Bonding interfaces of the connector H01L2224/86345Shape H01L2224/86355having an external coating H01L2224/86359Material H01L2224/8636Bonding interfaces of the semiconductor or solid state body H01L2224/86365Shape H01L2224/86375having an external coating H01L2224/86379Material H01L2224/8638Bonding interfaces outside the semiconductor or solid-state body H01L2224/86385Shape H01L2224/86395having an external coating H01L2224/86399Material H01L2224/868Bonding techniques H01L2224/86801Soldering or alloying H01L2224/86805involving forming a eutectic alloy at the bonding interface H01L2224/8681involving forming an intermetallic compound at the bonding interface H01L2224/86815Reflow soldering H01L2224/8682Diffusion bonding H01L2224/86825Solid-liquid interdiffusion H01L2224/8683Solid-solid interdiffusion H01L2224/8684Sintering H01L2224/8685using a polymer adhesive H01L2224/86855Hardening the adhesive by curing H01L2224/86856Pre-cured adhesive H01L2224/86859Localised curing of parts of the connector H01L2224/86862Heat curing H01L2224/86865Microwave curing H01L2224/86868Infrared [IR] curing H01L2224/86871Visible light curing H01L2224/86874Ultraviolet [UV] curing H01L2224/86877Moisture curing, i.e. curing by exposing to humidity H01L2224/8688Hardening the adhesive by cooling H01L2224/86885Combinations of two or more hardening methods provided for in at least two different groups selected from H01L2224/86855 - H01L2224/8688 H01L2224/8689using an inorganic non metallic glass type adhesive H01L2224/86893Anodic bonding H01L2224/86895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces H01L2224/86896between electrically conductive surfaces H01L2224/86897between electrically insulating surfaces H01L2224/86899Combinations of bonding methods provided for in at least two different groups from H01L2224/868 - H01L2224/86897 H01L2224/869involving monitoring H01L2224/86909Post-treatment of the connector or the bonding area H01L2224/8691Cleaning H01L2224/8693Reshaping H01L2224/86931by chemical means H01L2224/86935by heating means H01L2224/86939using a laser H01L2224/86945using a corona discharge H01L2224/86947by mechanical means H01L2224/86948Thermal treatments H01L2224/86951Forming additional members H01L2224/86986Specific sequence of steps H01L2224/89using at least one connector not provided for in any of the groups H01L2224/81 - H01L2224/86 H01L2224/90Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90 H01L2224/92Specific sequence of method steps H01L2224/9201Forming connectors during the connecting process H01L2224/9202Forming additional connectors after the connecting process H01L2224/9205Intermediate bonding steps H01L2224/921Connecting a surface with connectors of different types H01L2224/9211Parallel connecting processes H01L2224/9212Sequential connecting processes H01L2224/92122the first connecting process involving a bump connector H01L2224/92124the second connecting process involving a build-up interconnect H01L2224/92125the second connecting process involving a layer connector H01L2224/92127the second connecting process involving a wire connector H01L2224/92132the first connecting process involving a build-up interconnect H01L2224/92133the second connecting process involving a bump connector H01L2224/92135the second connecting process involving a layer connector H01L2224/92136the second connecting process involving a strap connector H01L2224/92137the second connecting process involving a wire connector H01L2224/92138the second connecting process involving a TAB connector H01L2224/92142the first connecting process involving a layer connector H01L2224/92143the second connecting process involving a bump connector H01L2224/92144the second connecting process involving a build-up interconnect H01L2224/92147the second connecting process involving a wire connector H01L2224/92148the second connecting process involving a TAB connector H01L2224/92152the first connecting process involving a strap connector H01L2224/92153the second connecting process involving a bump connector H01L2224/92155the second connecting process involving a layer connector H01L2224/92157the second connecting process involving a wire connector H01L2224/92158the second connecting process involving a TAB connector H01L2224/92162the first connecting process involving a wire connector H01L2224/92163the second connecting process involving a bump connector H01L2224/92164the second connecting process involving a build-up interconnect H01L2224/92165the second connecting process involving a layer connector H01L2224/92166the second connecting process involving a strap connector H01L2224/92168the second connecting process involving a TAB connector H01L2224/92172the first connecting process involving a TAB connector H01L2224/92173the second connecting process involving a bump connector H01L2224/92174the second connecting process involving a build-up interconnect H01L2224/92175the second connecting process involving a layer connector H01L2224/92176the second connecting process involving a strap connector H01L2224/92177the second connecting process involving a wire connector H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types H01L2224/9221Parallel connecting processes H01L2224/9222Sequential connecting processes H01L2224/92222the first connecting process involving a bump connector H01L2224/92224the second connecting process involving a build-up interconnect H01L2224/92225the second connecting process involving a layer connector H01L2224/92226the second connecting process involving a strap connector H01L2224/92227the second connecting process involving a wire connector H01L2224/92228the second connecting process involving a TAB connector H01L2224/92242the first connecting process involving a layer connector H01L2224/92244the second connecting process involving a build-up interconnect H01L2224/92246the second connecting process involving a strap connector H01L2224/92247the second connecting process involving a wire connector H01L2224/92248the second connecting process involving a TAB connector H01L2224/92252the first connecting process involving a strap connector H01L2224/92253the second connecting process involving a bump connector H01L2224/92255the second connecting process involving a layer connector H01L2224/93Batch processes H01L2224/94at wafer-level H01L2224/95at chip-level H01L2224/95001involving a temporary auxiliary member not forming part of the bonding apparatus H01L2224/95053Bonding environment H01L2224/95085being a liquid H01L2224/95091Under pressure H01L2224/95092Atmospheric pressure H01L2224/95093Transient conditions H01L2224/951Supplying the plurality of semiconductor or solid-state bodies H01L2224/95101in a liquid medium H01L2224/95102being a colloidal droplet H01L2224/9511using a rack or rail H01L2224/95115using a roll-to-roll transfer technique H01L2224/9512Aligning the plurality of semiconductor or solid-state bodies H01L2224/95121Active alignment H01L2224/95122by applying vibration H01L2224/95123by applying a pressurised fluid flow H01L2224/95133by applying an electromagnetic field H01L2224/95134Electrowetting H01L2224/95136involving guiding structures H01L2224/95143Passive alignment, i.e. self alignment H01L2224/95144Magnetic alignment H01L2224/95145Electrostatic alignment H01L2224/95146by surface tension H01L2224/95147by molecular lock-key H01L2224/95148involving movement of a part of the bonding apparatus H01L2224/96the devices being encapsulated in a common layer H01L2224/97the devices being connected to a common substrate H01L2224/98Methods for disconnecting semiconductor or solid-state bodies